• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

Verilog 를 이용한 직접 메모리 액세스(Direct Memory Access, DMA) 구현 (컴퓨터 아키텍쳐 실습)

*곤*
최초 등록일
2013.03.08
최종 저작일
2009.03
3페이지/워드파일 MS 워드
가격 1,000원 할인쿠폰받기
다운로드
장바구니

소개글

Verilog 를 이용하여 CPU 와 메모리 사이의 DMA 를 구현하는 실험의 실습 보고서입니다.

목차

1. 실험 목표
2. 내용
3. 실험 과정
4. 실험 결과
5. 느낀 점

본문내용

1. 실험 목표
Direct Memory Access(DMA)를 통해 CPU의 효율을 높인다.
2. 내용
* Main Memory, DMA controller와 I/O Device를 모듈로 구현한다.
* Bus의 종류는 control, address, data bus 3가지가 있다.
- CPU는 address와 data bus를 이용하여 DMA와 통신한다.
/
* I/O Device가 CPU에 Interrupt를 보낸다.
- CPU는 항상 interrupt enable 상태라고 생각한다.
* CPU가 DMA Controller에게 command(status, length, source, dest)를 보낸다.
- status : CPU와 control information을 주고 받기 위한 register. ex) DMA Controller의 작업이 끝났는가
- length : 전송된(될) data word의 수
- source : data source의 address
- dest : data가 전송될 목적지 (각 32bit or 16bit)

참고 자료

없음
*곤*
판매자 유형Bronze개인

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우
최근 본 자료더보기
탑툰 이벤트
Verilog 를 이용한 직접 메모리 액세스(Direct Memory Access, DMA) 구현 (컴퓨터 아키텍쳐 실습)
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업