1. 내용 요약16비트 MIPS 칩을 변경하여 32비트 MIPS 칩을 설계하고 결과 확인 및 테스트를 통한검증을 실험한다.2. 연구 동기16비트 칩이 가지고 있는 구조적인 한계를 극복하고 성능의 향상을 위해 실행되는 비트수의 확장이 필요함을 인지하게 되었다.또한 현재 ..
- VHDL을 사용하여 결정한 덧셈기 구조 설계 library ieee; --library declaration, required before package use ieee.std_logic_1164.all; -- package declaration entity ful..
‘방 황’ 1. 서론 1) 방황에 대한 정의 ? 사전적 정의 ? 방황(彷徨)[명사] - [하다형 자동사?하다형 타동사]정처 없이 헤매며 돌아다님 : 거리를 방황하다. - [하다형 자동사]할 바를 모르고 갈팡질팡함 : 방황하는 마음. ? 방황에 대한 간략한 의견 / 생각..
학 과 : 전자 시스템 공학 학 번 : 이 름 : 제출일 : 담당교수 : 디지털공학 (2) 4 비트 2 진 비교기 설계 4 비트 2 진 비교기 설계 다음과 같이 4 비트 2 진 비교기를 반복회로 ( uniateral iterative circuit) 로 설계하고자 한다..
한일BIT(한국과 일본 양자간 투자협정)의 의의, 한일BIT(한국과 일본 양자간 투자협정)의 초국적 자본, 한일BIT(한국과 일본 양자간 투자협정)의 내용, 향후 한일BIT(한국과 일본 양자간 투자협정)의 내실화 과제 분석 Ⅰ. 개요 Ⅱ. 한일BIT(한국과 일본 양자간..
VLSI 설계과제 8비트 가산기 설계 8Bit-Adder Design 2014년 12월 11일 INDEX 1. 서 론 1.1. 설계 목표 1.2. 설계 과제 선정 1.3. Time table 및 임무 분담 2. 관련 이론 2.1. 반가산기 2.2. 전가산기 및 8비트 ..
마이크로프로세서응용 예비보고서 제목 7주차 예비보고서 학과 전자공학과 학번 성명 제출일 2018. 11. 14 확인 1. ATmega128의 16Bit Timer/Counter & PWM에 대한 이해 (PWM이란?, 관련 이론 및 내부 구성도, TCCRnx, TCNT..
1. t_ff 설계 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity tff is port (clk, t : in std_logic; q, nq: buffer std_lo..
1) My Cad schematic editor에서 1bit full adder 회로구성 2) 검사 및 SPICE 네트리스로 보내기 3) MySpice에서 실행결과 확인 4) include.inc에서 값을 추출하여 실행결과에 붙이면 다음과 같이 된다. *********..
디지털공학 및 실습 평가 1비트 비교기 및 플립플랍 회로 2012 . 10 . 26일 1. 실험제목 : 1비트 비교기 및 플립플랍 회로 2. 실험목적 : - 크기 비교기의 구성방법과 동작원리를 이해하고 다양한 응용실험을 통하여 크기 비교기에 대한 응용력을 기른다. - ..
HOMEWORK #4. HOMEWORK #4 1.Rotary Drilling에 사용되는 Bit의 종류와 특징에 대해서 설명하시오. sol) 회전식 시추 비트는 형태에 따라서 전단 비트(Drag bit)와 구륜 비트(Rolling cutter bit)로 분류할 수 있다...
1. 실험목표 이번 실험의 목표는 4bit full adder를 VHDL언어로 코딩하여 ModelSim프로그램으로 시뮬레이션하는 것이었다. (단 XOR를 사용하지 않는다.) 2. 실험과정 및 소스코드 4bit full adder를 작성하기에 앞서 4bit fulladd..
4 Bit Full Adder Layout - 목 차 - 1. Conception for 1 Bit Adder 2. Conception for 4 Bit Adder 3. Process of 1 Bit Adder Layout 4. Process of 4 Bit Adde..
Ⅰ. 서론1997년 당시 전국의 남자 고등학생들의 가슴에 불을 지핀 영화가 개봉되었다. 바로 비트, 수려 한 외모를 가진 정우성의 오토바이 질주 장면과 흡연 장면은 그 당시 고등학생들에게 우상이 되 었고 10대의 들끓는 감성을 자극하는 대사들은 학생들의 마음을 들썩이는..
비트와 여러 연산자 * 조건 연산자 조건 삼항 연산자 조건 연산자는 C 언어에서 유일하게 세 개의 피연산자를 갖는 삼항 연산자 연산식 exp1이 0이 아닌 값(참을 의미)으로 평가되면, 삼항 연산자의 평가값은 두 번째 연산식인 exp2의 값으로 평가 연산식 exp1이 ..
마이크로프로세서응용 결과보고서 제목 8주차 결과보고서 학과 전자공학과 학번 성명 제출일 2018. 11. 28 확인 1. 16Bit Timer/Counter&PWM 관련 이론 (PWM이란?, 내부 구성도, 레지스터, 동작원리, 출력 주파수 계산 등) #PWM이란 (P..
(6-bit up down -Count) LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_signed.ALL; use ieee.std_logic_arith.all; ENTITY updown_6bit IS P..