• LF몰 이벤트
  • 파일시티 이벤트
  • 캠퍼스북
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(594)
  • 리포트(514)
  • 자기소개서(71)
  • 시험자료(5)
  • 논문(2)
  • 방송통신대(1)
  • 이력서(1)

"트랜지스터실습" 검색결과 1-20 / 594건

  • 한글파일 [ 기초전자공학 ][ 한국공학대 ] 트랜지스터 실습2
    트랜지스터의 증폭 작용 실습 2-2. 트랜지스터의 스위칭 작용 실습 실험 절차 [1] 정전류원 실습 1. ? ... 정전류원 실습 1-1. ?오프 영역 실험 1-2. 활성영역 및 정전류원 실험 1-3. 포화영역 실험 2. 트랜지스터의 증폭 및 스위치 작용 실습 2-1. ... 실험결과 정전압원 실습은 양극성 접합 트랜지스터의 세 가지 동작영역(컬오프 영역, 활성영역, 포화영역)에 관한 실습과 활성(active)영역을 이용한 정전류원 회로에 관한 실습이다.
    리포트 | 7페이지 | 2,000원 | 등록일 2024.02.16
  • 한글파일 [ 기초전자공학 ][ 한국공학대 ] 트랜지스터 실습1
    실험과정 실험결과 이번 실습에서 실습 6.1와 실습 6.2를 진행했습니다. 양극성 접합 트랜지스터의 특성곡선을 오실로스코프 스크린에 디스플레이 하는 실습입니다. ... 그리고 실습 6.2 바이폴라 트랜지스터의 전류 전달 특성 관찰 실습 6.1에서 구성한 회로를 이용해 오실로스코프 스크린에 양극성 접합 트랜지스터의 특성을 디스플레이 하고, 특성을 나타내는 ... 트랜지스터의 입력 특성은 다이오드의 전압-전류 특성과 동일하므로 이 실습에서는 그 대신 트랜지스터의 특성을 관찰합니다.
    리포트 | 5페이지 | 2,000원 | 등록일 2024.02.16
  • 한글파일 쌍극접합 트랜지스터(예비+결과)/ 전자회로실습/ 한기대
    트랜지스터 4. ... 보고서 쌍극접합 트랜지스터 과목명 분반 담당교수님 교수님 학번 이름 실험일 제출일 1. 실험 목적 가. 쌍극접합 트랜지스터의 바이어스 이해 나. ... 이미터-베이스 회로에서 쌍극접합 트랜지스터 전류에 대한 순방향과 역방향 바이어스의 효과 측정 다.
    리포트 | 5페이지 | 1,500원 | 등록일 2022.03.12 | 수정일 2022.03.14
  • 파일확장자 [보고서점수A+]한국기술교육대학교 전자회로실습 CH7. 쌍극접합 트랜지스터 실험보고서
    이론 및 실험 원리1.1 트랜지스터(Transistor)- 트랜지스터는 재료에 따라 크게 게르마늄(Ge)과 실리콘(Si) 트랜지스터로 나눌 수 있으며, 대부분의 경우 실리콘 트랜지스터를 ... 다이오드의 기능이 확장된 것이다.쌍극접합 트랜지스터● PNP형 트랜지스터 : 2개의 넓은 P형 실리콘 판 사이에 아주 얇은 N형의 실리콘을 끼워 넣어 만들어진 트랜지스터이다. ● ... 실험 제목 : 쌍극접합 트랜지스터실험 날짜 : 2022. 5. 10.
    리포트 | 19페이지 | 1,500원 | 등록일 2023.03.27
  • 한글파일 트랜지스터실습 보고서!!
    전기전자공학개론 실습 3 조: , 조원이름: 실습 Report 6V 6V IC IB 1. 아래의 회로도를 구현하시오. R1=300 kΩ Transistor는 서판 그림 참조 2. ... 트랜지스터의 증폭률에도 한계가 있나? 3. 트랜지스터가 전류를 증폭시키는 원리는? ... 실습 토의 (실험결과 요약 및 질문 사항) 이번 실험은 IB, IC 빼고는 오차가 거의 없었다.
    리포트 | 2페이지 | 1,000원 | 등록일 2011.09.22
  • 한글파일 전자회로실습 - 트랜지스터 회로의 바이어스 설계
    전자회로실습 PRACTICE 4 트랜지스터 회로의 바이어스 설계 1.개요 1.1 회로개요 1.2 설계목적 2. ... ■ 트랜지스터 2SC1815 지난번 에미터 접지 증폭회로에서 사용하였던 npn-트랜지스터 2SC1815의 데이터 시트를 확인해 안정계수( xi =1.5)가 들어간 식에 대입해서 주어진 ... 실습값을 비교해 보면 커패시터가 있을 경우의 A _{v} = V_OUT OVER V_{IN} = 2.08V OVER20mV 이므로 증폭도는 약 100이었다.
    리포트 | 20페이지 | 1,000원 | 등록일 2016.04.01 | 수정일 2018.10.29
  • 한글파일 전자회로 실습 - BJT (바이폴라 접합 트랜지스터)
    실습방법 1. ... 실습결과 - 시뮬레이션 결과, 아래와 같은 값이 출력되었다. 그림 7. ... 이론 - 바이폴라 접합 트랜지스터(BJT)는 두 개의 pn접합으로 나누어지는 도핑된 세 개의 반도체 영역으로 구성되어있다.
    리포트 | 3페이지 | 1,000원 | 등록일 2016.03.22
  • 한글파일 트랜지스터 회로의 바이어스 설계_결과보고서4(한기대 전자회로실습)
    실험 결과 보고서Ⅳ - 트랜지스터 회로의 바이어스 설계 담당교수 조현찬 교수님 학 번 이 름 제 출 일 2012. 10. 19 ◆ 실험목적 트랜지스터의 바이어스 특징과 필요성을 이해하고 ... 베이스 바이어스의 단점은 βDC 변화가 IC를 변화시키고, IC의 변 화가 VCE를 변화시키므로 트랜지스터의 Q점을 변화시킨다. ... 선정 (2SC1815 선정) - 일반적인 트랜지스터 선정과정에 의한 계산 안정계수` zeta >1.5 V _{CE} GEQ zeta BULLET V _{CC} ``>`1.5 BULLET
    리포트 | 6페이지 | 1,000원 | 등록일 2016.11.20
  • 한글파일 전자실습순서 : 저항, 콘덴서, 트랜지스터, 다이오드, 발광다이오드
    전자실습순서 1. 납땜하기 1) 전기인두의 플러그를 작업대 대각선 방향으로 콘센트에 연결하기. 2) 화장지에 물을 적신 후 전기인두 받침대에 놓는다. ... (실습 전에 화장실에서 조별로 준비) 3) 만능기판에 각 학생별로 만족할 때까지 납땜연습을 한다. 4) 만능기판 맨 아래 두 줄은 마지막으로 학생별로 5개씩 납땜하여 스스로 평가한다 ... 트랜지스터의 종류 및 규격 알기 ⑴ 트랜지스터 : 전류를 증폭할 수 있는 부품 ⑵ 트랜지스터의 종류 및 규격 2 S A/B/C/D 숫자 - 1번째에 오는 숫자는 ‘접합부의 수’를 나타내는
    리포트 | 5페이지 | 2,000원 | 등록일 2012.12.06
  • 한글파일 [전기전자]트랜지스터실습노트
    Chapter 3> 트랜지스터 1. 실험 제목 : 트랜지스터의 특성,원리 2. ... 실험 목적 (1) 트랜지스터의 모양과 동작을 알아본다. (2) 트랜지스터(SC1815), DMM, 파워서플라이, 저항을 이용하여 트랜지스터의 특성 을 알아본다. 3. ... 고 찰 - 트랜지스터는 저항, 다이오드의 특성을 모두 가지고 있다. - 트랜지스터는 정류, 증폭, 스위치 작용에 유용하게 쓰임을 이해한다. - 가변저항과는 달리 증폭을 할 때는 전압을
    리포트 | 5페이지 | 1,000원 | 등록일 2005.12.08
  • 한글파일 정전류원 트랜지스터 레포트
    [실습 6-5 트랜지스터의 증폭 및 스위칭 작용 실습] 1. ... 기초전자공학 예비리포트 - 실습 6-4, 6-5 - 1. 실습주제 및 실습요약 [6-4] 정전류원 실습 : 양극성 접합 트랜지스터의 세 가지 동작영역(? ... 즉 트랜지스터는 전류 증폭기로 동작한다. 본 실습의 스위칭 회로는 접접이 없는 전자식 스위치로 동작한다.
    시험자료 | 14페이지 | 1,500원 | 등록일 2019.12.16
  • 워드파일 27. 차동 증폭기 회로
    진폭 50mV의 입력을 에 인가한 후 회로에 사용된 모든 트랜지스터의 드레인 단자에서의 파형을 기록하라. 컴퓨터 실습-1. ... 컴퓨터실습-2 DC 바이어스 모의실험부터 시작하여 다음에 요구하는 데이터를 구하고 질문에 답하라. 전압원 VCC와 VEE가 공급한 총 DC 전력은 얼마인가? ... 그림 27.1 회로의 어느 한 트랜지스터에 대해 DC 바이어스 전압과 전류를 계산하라.
    리포트 | 17페이지 | 1,000원 | 등록일 2021.12.14
  • 한글파일 트랜지스터의 특성곡선
    전기전자회로실습 - x주차 x조 과 목 전기전자회로실습 담당교수 xxx교수님 학 과 xxxxx 학 번 20xxxxxxxx 이 름 xxx 제 출 일 20xx. xx. xx. 1. ... 트랜지스터의 동작원리 ? 트랜지스터의 구조 npn 트랜지스터 pnp 트랜지스터 5. ... 실험 제목 : 트랜지스터의 특성곡선 2. 조(조원) : x조 (xxx, xxx) 3. 실험 목적 : 트랜지스터의 구조와 동작원리에 대해 알아보고 실험을 통하여 이해한다. 4.
    리포트 | 5페이지 | 1,500원 | 등록일 2021.04.27
  • 파일확장자 [결과보고서] 3.스텝 모터 구동기 7page
    설계실습 내용 및 분석3-4-1 범용 이동 레지스터 범용 이동 레지스터(74HC194)가 단극 스텝 모터의 컨트롤러로써 사용되며, 개념도는 아래 그림 3-1과 같다.
    리포트 | 7페이지 | 1,000원 | 등록일 2023.01.03
  • 한글파일 트랜지스터의 동작 특성_결과보고서
    실습목표 트랜지스터의 구조와 동작 원리를 파악하고, 실습을 통하여 트랜지스터 입력 및 출력 특성을 이해한다. 2. 실습결과 NPN형 트랜지스터의 입력과 출력 동작 특성 ? ... 트랜지스터는 전류를 증폭시키거나 일방적으로 안 흐르게 스위칭 작용을 한다. 실습결과표를 참고하여 트랜지스터의 스위칭 작용을 살펴보자. ... 실습 결과 고찰 트랜지스터의 특성에 대해 알아보기 위해 회로를 구성하고 입출력 전압과 전류를 측정해보았다.
    리포트 | 3페이지 | 1,000원 | 등록일 2021.09.04
  • 한글파일 트랜지스터의 동작 특성_예비보고서
    실습목표 트랜지스터의 구조와 동작 원리를 파악하고, 실습을 통하여 트랜지스터 입력 및 출력 특성을 이해한다. 2. ... 실습이론 ① 트랜지스터의 구조 트랜지스터 반도체 다이오드에 제3의 층을 추가하여, 전력, 전류, 또는 전압을 증폭시킬 수 있는 소자 트랜지스터는 접합 다이오드와 마찬가지로 게르마늄이나 ... REPORT 제목 : 트랜지스터 예비보고서 과 목 명 : 전기회로 및 실습 담당교수 : 김 * * 교수님 제 출 일 : 2013년 05월 28일 인하대학교 공과대학 항공우주공학과 00000000안
    리포트 | 12페이지 | 2,000원 | 등록일 2021.09.04
  • 한글파일 아두이노 DC모터+STEP모터 결과레포트
    DC모터 제어 실습 1-1. ... 이 경우, 트랜지스터에서의 전력손실이 그대로 열로 되기 때문에 트랜지스터의 열대책은 충분히 고려할 필요가 있다. (2) 트랜지스터 구동(컬렉터 부하) 모터를 트랜지스터 컬렉터의 부하로 ... DC모터 제어 실습결과 - DC모터와 INA과 D4번핀과 연결, INB와 D5핀과 연결을하여 DC모터 구동준비를 한다. - DC모터가 제대로 연결되어있으면 회전하는 모습을 볼수 있다
    리포트 | 17페이지 | 1,500원 | 등록일 2021.12.28
  • 워드파일 디집적, 디지털집적회로설계 실습과제 3주차 인하대
    디지털집적회로설계 실습 3주차 과제 ... 두 개의 트랜지스터를 모두 그린 후, 각 트랜지스터의 poly를 연결해주었다. ... 고찰 이번 실습은 magic tool을 사용해 CMOS inverter의 layout을 설계해 보는 것이었다.
    리포트 | 4페이지 | 1,500원 | 등록일 2021.08.31
  • 한글파일 차동증폭기 예비보고서 [인하대 전자공학실험1]
    실험 기기 : 브레드보드 2개, 트랜지스터(BJT: 2N3904 2개), 저항(실습 1: 100 kΩ 4개 10 kΩ 1개, 실습 2: 100 kΩ 4개 10 kΩ 2개, 4.7 kΩ ... 1개), 콘덴서(실습 1: 1uF 2개, 실습 2: 1uF 2개), 함수 발생기, 전원 공급 장치, 오실로스코프 05. ... ^{+} ,V _{I2} ^{-}는 트랜지스터의 base로 인가되며, 출력신호 V _{C1} ,`V _{C2}는 두 트랜지스터의 collector에서 측정 가능하다. 3.
    리포트 | 3페이지 | 1,000원 | 등록일 2022.02.20 | 수정일 2022.03.08
  • 한글파일 전류원과 전류 미러 예비보고서 [인하대 전자공학실험1]
    실험 기기 : 브레드보드 2개, 트랜지스터(BJT 2개, MOSFET 2개), 저항(실습 1: 100 Ω 2개, R {} _{C}, R {} _{load}, 실습 2: R _{rho ... 전류 미러 : 한 트랜지스터의 전류가 흐를 때, 다른 트랜지스터에도 거울처럼 같은 전류가 흐르게 하는 회로. ■ 과 같이 한 쪽 트랜지스터의 전류를 조절해서 부하 쪽 전류를 제어할 ... 트랜지스터의 세 단자 중에 가장 얇다.
    리포트 | 5페이지 | 1,000원 | 등록일 2022.02.20 | 수정일 2022.03.08
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업