• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(2,556)
  • 리포트(2,364)
  • 시험자료(83)
  • 자기소개서(48)
  • 방송통신대(38)
  • 논문(23)

"카운터회로" 검색결과 81-100 / 2,556건

  • 한글파일 실험19 카운터 회로 예비보고서
    카운터 회로) 정보통신공학부 12조 학번 : 2007057228, 2010044011 이름 : 정 현 재, 정 지 원 실험 19 카운터 회로 1). ... 동기식 카운터는 리플 카운터보다 전달속도가 매우 빠르고, 소형이며, 집적회로소자의 출현으로 이러한 형태의 카운터가 많이 사용되고 있다. ... 이러한 식으로 출력은 입력으로 받아서 클럭에 인가하는 것이 비동기식 카운터이다. (2에 회로 설계에 있어서 복잡하지 않다.
    리포트 | 9페이지 | 1,000원 | 등록일 2014.09.29 | 수정일 2018.10.15
  • 한글파일 디지털회로실험 17장. 동기 카운터
    실험데이터 10진(BCD) 동기 카운터의 타이밍도 BCD 동기 카운터 회로 CH1 - Q _{A}CH2 - Q _{B} BCD 동기 카운터 회로 CH1 - Q _{C}CH2 - Q ... 4진 카운터의 동작과 회로도 4진 상승 카운터의 동작을 고려한 후, 타임 차트에 [Q1], [Q0]를 기입 [Q0]의 [D](D0)에는 [ ]를 기입 (회로도에 의해 [D0]는 [ ... 동기식 카운터의 VHDL 기술 리스트 8.18은 회로도에 기반한 기술인데 반해 리스트 8.19는 각 플립플롭 [D]의 논리식에 기반한 기술 동기식에서는 덧셈 연산자 [+]를 사용한
    리포트 | 13페이지 | 1,000원 | 등록일 2014.04.07
  • 한글파일 [mahobife]디지털회로실험 카운터, 쉬프트 레지스터 예비보고서입니다.
    위의 그림은 4단 존슨 카운터의 순차표 및 회로도이다. -> 링 카운터회로도와 유사함을 알 수 있다. ... 카운터 카운터 : 수를 헤아릴 수 있는 회로. asynchronous counter(비동기식 카운터) : 직렬카운터, 고속 카운팅에 부적합 synchronous counter(동기식 ... 비동기식 10진 업(up)/다운(down) 카운터 10부터 끊어야 하므로 위의 회로와 같이 설계한다.
    리포트 | 7페이지 | 1,000원 | 등록일 2017.10.09 | 수정일 2017.10.11
  • 한글파일 논리회로 카운터 신호등 설계
    위 설계 회로도 표시에 대한 설명으로는 1번의 경우 4비트 동기카운터를 나타냈으며, 2번은 주황색 신호의 논리 회로로서 13,14,15 Clock일 때 표현을 하려고 했으며, 13~ ... 동기식 카운터를 사용하는 이유는 비동기카운터에 비해 논리 회로 구현이 복잡하기는 하지만 동시에 Clock가 인가 되므로 모든 플립플롭이 동시에 동작 따라서 한 단의 전파지연만 존재하게 ... (설계에 있어서는 하나의 신호등만 표현했으므로, 빨간 신호는 1clock만 표현 하였습니다.) ◇ 기타 카운터를 이용한 신호등을 확장 해보았을 때, clock조절 및 추가적인 회로
    리포트 | 5페이지 | 3,500원 | 등록일 2012.07.09
  • 한글파일 디지털회로실험 16장. 비동기 카운터
    출력은 '0'→'1'→'0'…으로 변하므로, 상향 카운터나 하향 카운터나 모두 동일한 회로 또한, 하강 모서리 동작의 플립플롭에서도 회로에 변경은 없음 ? ... 2진 카운터의 동작과 회로도 2진 카운터는 [바이너리 카운터(binary counter)]라고도 하며, 클럭이 들어갈 때 마다 플립플롭의 출력이 '0'→'1'→'0'…으로 변함 플립플롭에서는 ... p주 전부터 교수님께서 디지털회로 실험단원중 가장 중요한 단원이라고 강조하셨고, 비동기카운터/ 동기 카운터에 대해 조사를 해 오라고 하셨던 이유가 분명 있었다.
    리포트 | 12페이지 | 1,000원 | 등록일 2014.04.07
  • 한글파일 08 논리회로설계실험 예비보고서(카운터)
    출처 (Reference) 논리회로설계 수업자료 ‘카운터설계’ 5. 고찰 논리회로 시간에 공부한 여러 종류의 카운터에 대해 알아보았다. ... 논리회로설계 실험 예비보고서 #8 실험 8. 카운터 설계 1. 실험 목표 카운터의 개념과 종류에 대해 학습하고, VHDL을 이용하여 각 카운터를 설계한다. 2. ... 출력을 나타내기 위해 사용되는 회로이다.
    리포트 | 9페이지 | 2,000원 | 등록일 2014.09.27 | 수정일 2016.03.26
  • 한글파일 [mahobife]디지털회로실험 비동기식 2진카운터, 동기식 카운터 결과보고서입니다(JK, D, T 플립플롭)
    비동기식 3비트 2진 업/다운 카운터 회로도 down 계수표up 계수표 타이밍도 2. 7476을 이용한 동기식 8진 카운터 계수표전이표 가. ... A단의 여기표, 간략화 및 회로도 JA,KA가 동시에 아래 표의 값과 같이 동작하면 각각의 경우에 대하여 At->At+1은 8진 카운터의 일부(LSB)처럼 작동한다. -> 아래와 같은 ... B단의 여기표, 간략화 및 회로도 J_B``=``AK_B``=``A 다. C단의 여기표, 간략화 및 회로도 J_C``=``ABK_C``=``AB 타이밍도 Ⅲ.
    리포트 | 6페이지 | 1,000원 | 등록일 2017.10.09 | 수정일 2017.10.11
  • 한글파일 08 논리회로설계실험 결과보고서(카운터)
    논리회로설계 실험 결과보고서 #8 실험 8. 카운터 설계 1. 실험 목표 VHDL을 이용하여 카운터를 설계한다. ... 실험의 목표는 클락 주파수가 2Hz인 회로이다. ... 설계했던 존슨카운터를 응용하여 설계하였다.
    리포트 | 5페이지 | 2,000원 | 등록일 2014.09.27 | 수정일 2016.03.26
  • 한글파일 [디지털 논리회로 실험] 16장. 동기식 카운터 예비레포트
    왼쪽 그림에 4Bit 동기식 다운카운터 회로도를 나타내었다. 클록이 발생할 때마다 카운터 값은 감소하게 된다. ... 논리회로실험 A반 예비 레포트 16장 동기식 카운터 5조 이름 학번 실험일 15.06.02 제출일 15.06.02 1. ... 동기식 카운터는 순서 회로 설계 방법으로 설계가 가능하며 어떤 경우의 카운터도 설계할 수 있다. 즉 일반적인 카운터 외에 특별한 순서로 발생하는 카운터도 설계할 수 있다. 2.
    리포트 | 3페이지 | 1,000원 | 등록일 2016.01.14 | 수정일 2022.10.09
  • 한글파일 [디지털 논리회로 실험] 16장. 동기식 카운터 결과레포트
    실험16.3 74163 동기식 4Bit 2진 업 카운터 (1) IC 74163을 이용한 동기식 업 카운터 회로회로도이다. ... 동기식 카운터는 순서 회로의 일종이므로 특별한 순차를 갖는 카운터도 설계가 가능하다. - 참고문헌 - 디지털 논리회로 본 교재 16장 ... (1) IC 7476(Dual JK Flop-Flop)2개를 이용한 동기식 다운 카운터회로회로도이다.
    리포트 | 3페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2022.10.09
  • 한글파일 실험(1) 응용논리회로(카운터) 예비보고서
    실험 (1) #4 예비보고서 응용논리회로 : 카운터 1 1. 목 적 조합논리회로와 순서논리회로에 대한 대표적인 응용회로카운터에 관해서 그 동작 특성을 실현한다. ... (a) 비동기식 십진 카운터 회로 (b) 동기식 십진 카운터 회로 (c) 비동기식에서의 출력상태 (d) 동기식에서의 출력상태 그림 6 십진 카운터 2.6 모듈러스 N 카운터 모듈러스 ... 그림 5는 리플 캐리 카운터 회로를 그린 것이다.
    리포트 | 10페이지 | 2,000원 | 등록일 2014.07.08 | 수정일 2023.09.07
  • 한글파일 동기 및 비동기 카운터회로 설계보고서
    설계 제목 - 동기 및 비동기 카운터회로 설계 ? 설계 목표 비동기식, 동기식 카운터의 설계 과정 및 동작을 이해한다. ... . ◈ 시뮬 결과 ① 비동기 계수기 ② 동기 계수기 적용가능한 모델 카운터 회로는 동기,비동기 카운터가 있는데 더 자세히 counter-up, counter-down회로가 있다. ... 그에반해 counter-down회로카운터의 셈을 빼는 곳에 이용된다고 볼수 있다.
    리포트 | 5페이지 | 1,000원 | 등록일 2014.06.03
  • 한글파일 디지털회로 카운터 설계 레포트
    논리회로 (b) 7. VHDL구문 (b) 8. Wave파형 (b) ... 디지털회로 설계레포트 11010 감지시의 LED출력 (J-K F/F이용) 1. 상태도 2. ... 논리회로 (a) 7. VHDL구문 (a) 8. Wave파형 (a) 2.
    리포트 | 14페이지 | 4,000원 | 등록일 2010.07.08
  • 파워포인트파일 8진 카운터를 이용한 회로
    ..PAGE:1 8진 카운터를 이용한 소리 나는 회로 7조 ..PAGE:2 실 험 개 요 555타이머를 이용하여 펄스를 만들어 입력한 다음 8진 카운팅을 하고 그것을 3-to-8 디코딩을 ... 하고 출력을 내어 그 다음 트랜지스터 스위치를 이용하여 각각의 소리가 나게 하는 회로 입니다. ..PAGE:3 회 로 구 성 555타이머 → 8진 카운터 → 3-to-8 디코더 → ... ) 포화와 차단영역에서 동작하도록 설계함(베이스바이어스) → 아날로그로 디지털화 ..PAGE:6 회로도 및 동작설명 3 8진카운터 설계 상태도 작성 사용 플립플롭 결정 상태표 작성
    리포트 | 11페이지 | 1,000원 | 등록일 2010.07.06
  • 한글파일 부경대 디지털회로실험 텀프로젝트(5진 동기식 업 카운터)
    [그림1-2] FND 내부회로 1.4 동기식 카운터 일반적으로 카운터는 비동기와 동기식으로 나누어진다. 비동기식 카운터에서는 플립플롭의 출력이 다음 플립플롭의 클럭으로 사용된다. ... 디지털회로실험 텀 프로젝트 보고서 [J-K 플립플롭을 이용한 동기식 카운터의 7-세그먼트 출력] 조 : 분 반: 학 과: 전자공학과 학 번: 이 름: 담 당 교 수: 1. ... 카운터 설계 5진(0~4) 동기식 업 카운터로 설계해보겠다. 2.1 카운터 진리표 현재상태 다음 상태 C B A C B A J_c K_c J_b K_b J_a K_a 0 0 0 0
    시험자료 | 5페이지 | 1,500원 | 등록일 2015.09.19 | 수정일 2019.06.10
  • 한글파일 [디지털회로실험] (실험9) 8비트 동기식 카운터
    회로도 ? 시뮬레이션 결과 실험9-(2) 74163 TTL 2개를 사용한 8비트 동기식 카운터 ? 회로도 ? 시뮬레이션 결과
    리포트 | 2페이지 | 1,000원 | 등록일 2014.10.21 | 수정일 2016.06.13
  • 한글파일 [디지털 논리회로 실험] 17장. MOD-N 카운터 결과레포트
    3 카운터 (1) IC 74163(4Bit 2진 업 카운터) 및 논리게이트를 이용한 MOD ? 3 카운터 회로회로도이다. ... 5 카운터 (1) IC 74163(4Bit 2진 업 카운터) 및 논리게이트를 이용한 MOD ? 5 카운터 회로회로도이다. ... 따라서 카운터 값이 5가 되는 순간에 출력이 0으로 초기화 됨을 알 수 있다. - 참고 자료 - 디지털 논리회로 본 교재 제 17장 참조 [네이버 지식백과] 카운터 [위키백과] MOD
    리포트 | 3페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2022.10.13
  • 한글파일 [디지털 논리회로 실험] 15장. 비동기식 카운터 결과레포트
    실험15.3 비동기식 10진 업 카운터 (1) IC 7476(Dual JK Flop-Flop) 2개를 이용한 비동기식 10진 업 카운터회로회로도이다. ... (1) IC 7476(Dual JK Flop-Flop)을 이용한 비동기식 다운 카운터회로회로도이다. ... 위 실험을 통하여 비동기식 카운터회로구조와 동작상태를 이해할 수 있었으며 비동기식 카운터의 타이밍을 이해하여 동작원리를 배울 수 있었다.
    리포트 | 3페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2022.10.13
  • 한글파일 [디지털 논리회로 실험] 15장. 비동기식 카운터 예비레포트
    출력들은 플립플롭의 보수단자 Q에서 빼낸다면 이 회로는 2진 다운 카운터로서 쓸 수 있다. ... 논리회로실험 A반 예비 레포트 15장 비동기식 카운터 5조 이름 학번 실험일 15.06.02 제출일 15.06.02 1. ... 언필드 코드 카운터 언필드 코드 카운터란 비트열 편성의 일부만을 사용하는 것이다. 사용하지 않는 조합이 되었을 경우의 회복회로가 필요하다.
    리포트 | 4페이지 | 1,000원 | 등록일 2016.01.14 | 수정일 2022.10.09
  • 한글파일 동기 및 비동기 카운터회로 설계결과보고서
    이에 반해 비동기식 카운터는 첫 단의 플립플롭에 클럭 신호가 인가되어, 이 첫 단 플립플롭의 출력이 다음 단의 플립플롭을 활성화 시키도록 되어 있는 회로를 말하며, 동기식 계수기에 ... 바꿔말해, 동기식 카운터에서는 모든 플립플롭에 클럭 신호가 병렬로 동시에 인가되기 때문에 계수속도가 빠른 장점이 있으나 비동기식 카운터에 비하여 설계하기 복잡하다는 단점이 있다. ... 이를 이해하려면 우선 카운터의 개념부터 알고 있어야 하므로 간단히 설명하자면 카운터란, 단순히 입력펄스의 숫자를 계수하는 데 사용될 뿐만 아니라 주파수 및 주기 측정, 제어 등등 많은
    리포트 | 5페이지 | 1,000원 | 등록일 2014.06.03
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 05월 03일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:55 오전