• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(265)
  • 리포트(254)
  • 논문(4)
  • 자기소개서(4)
  • 시험자료(3)

"실험 2 Logic and time" 검색결과 1-20 / 265건

  • 한글파일 전자전기컴퓨터설계실험2(전전설2) (3) Logic Design using Verilog HDL
    Timing Control (29) 1.1.15. Module(2) (31) 2. 실험 방법 및 실험 결과 (35) 2.1. AND Gate (35) 2.2. ... Logic Design using Verilog HDL post-lab report 과목명 전자전기컴퓨터설계실험2 담당 교수 전공 학부 전자전기컴퓨터공학부 학번 성명 제출 일자 Logic ... 실험 목적 (03) 2. 실험 이론 (03) 2.1. HDL (03) 2.2. Verilog (03) Ⅱ. 본론 (03) 1. 실험 장비 및 사용법 (03) 1.1.
    리포트 | 84페이지 | 2,000원 | 등록일 2019.10.11 | 수정일 2021.04.29
  • 워드파일 서울시립대학교 전전설2 6주차 예비레포트(코딩 성공적, A+, 10점 만점 11점)
    Materials & Methods (실험 장비 및 재료와 실험 방법) ‥‥ 6 가. ... Purpose of this Lab 이번 실험에서 Verilog HDL언어를 사용하여 Sequential Logic을 설계 및 실험한다. ... Essential Backgrounds (Required theory) for this Lab ‥‥‥‥‥‥‥‥‥‥‥‥‥‥ 3 2.
    리포트 | 16페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.15
  • 워드파일 [서울시립대] 전자전기컴퓨터설계실험2 / Lab06(예비) / 2021년도(대면) / A+
    Materials and Methods 가. 실험 장비 HBE Combo-II SE 3. ... 실험의 목적 Verilog HDL 언어를 사용하여 Sequential Logic을 설계 및 실험(Flip-Flop, Register, SIPO 등)하고, 설계한 로직을 시뮬레이션하기 ... with an always block, use blocking assignments. - When modeling both sequential and combo logic within
    리포트 | 16페이지 | 2,000원 | 등록일 2022.07.16
  • 한글파일 서강대학교 디지털논리회로실험 - 실험 2. Digital Logic Gates 예비 보고서
    디지털논리회로실험 예비 보고서 [2주차] 실험 2. Digital Logic Gates 1. ... 참고문헌 1) Stephen Brown & Zvonko Vranesic, Fundamentals of Digital Logic with VHDL Design, 3판, McGraw-Hill ... , 2009 2) 서강대학교 전자공학과, 디지털 논리회로 실험, 서강대학교, 2017
    리포트 | 7페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 워드파일 Verilog HDL을 이용한 로직게이트 설계 및 FPGA를 통한 검증 예비레포트
    실험 제목 1) Verilog HDL을 이용한 로직게이트 설계 및 FPGA를 통한 검증 2. ... 따라서 combinational logic을 표현할 때 wire를 주로 사용한다. integer: 정수형 변수 time, realtime: 시간형 변수 (시간에 따라 다른 값을 넣고 ... =, >, >= 관계 연산 논리 연산자 && 논리적 AND || 논리적 OR !
    리포트 | 4페이지 | 1,000원 | 등록일 2022.08.26 | 수정일 2022.08.29
  • 워드파일 전자전기컴퓨터설계실험3 - 결과레포트 - 실험10 - MOSFET(CMOS Inverter) (A+)
    PMOS에서 Threshold Voltage는 음의 값을 갖는다. 2. Materials & Methods (실험 장비 및 재료와 실험 방법) 가. ... (마) Computer & Pspice program : 1 ea. . (2) 실험에 필요한 소자 목록 (가) 만능 기판 (나) MOSFET : NMOS(2n7000) : 2 ea. ... Materials & Methods (실험 장비 및 재료와 실험 방법) PAGEREF _Toc57290014 \h - 2 - Hyperlink \l "_Toc57290015" 가.
    리포트 | 15페이지 | 2,000원 | 등록일 2020.11.26 | 수정일 2020.11.29
  • 워드파일 VHDL을 통해 구현한 ShiftRegister 실습보고서
    다음장에서 나오는 표는 H=1, L=0으로 표현하였다. 2)Time(Truth) table Time CLK reset enable mo=1, Circular L로 전환 435ns 1 ... Circular shift 4-2) logical shift(논리 시프트) 논리 shift이다. ... 목적(Purpose) 이번실습은 FlipFlop을 이용한 Shifter을 설계하는 것으로, Clock과 Enable 그리고 mode에 따라 각각 다른 동작을 하는 회로를 설계하는 실험이다
    리포트 | 16페이지 | 2,000원 | 등록일 2020.12.24
  • 한글파일 TTL gates 실험보고서
    실험 2: Logic gates-TTL gates와 deMorgan의 법칙 1. ... (배타적 OR gate) 2.1. XOR는 2 입력 상태가 서로 다를 때 참인 logic이다. 진리표는 표 2와 같다. 표 2를 완성하여 A? ... 위의 결과를 이용하여 INVerter, AND, OR gate를 이용하여 XOR gate를 꾸밀 수 있다. 이를 그림 2에 그려 본다.
    리포트 | 12페이지 | 1,000원 | 등록일 2021.04.06
  • 한글파일 Logic 연산과 gates 실험보고서
    실험1. Logic 연산과 gates 1. AND 연산 1.1 A BULLET B (A "AND" B)는 둘 중 하나만 거짓(“0”)이어도 그 결과가 거짓인 연산이다. ... 0 0 0 0 0 1 0 0 0 0 0 0 0 표 3. 3-입력 AND gate multisim_AND gate_(b) 2.4 위의 결과를 이용하여 3-입력 AND gate의 timing ... logic OR gate_LED 점등 GND와 Switch를 연결한 이유는 회로가 아예 끊어지는 것과 GND가 연결된 상황간의 차이를 알아보기 위함이며, 실험 결과 무관함을 관찰할
    리포트 | 18페이지 | 1,000원 | 등록일 2021.04.06
  • 워드파일 기초실험 7segment 결과보고서
    실험 결과 참고 문헌 Charles H. Roth, Jr. and Larry L. ... 구현한 회로의 Timing Diagram 과 State Diagram을 그리고 실험 결과와 함께 동작 특성을 논의한다. ... 7 Segment & Counter 결과 보고서 실험 목적 BDC -to-7 Segment Decoder와 7 Segment LED를 연결한다.
    리포트 | 26페이지 | 2,000원 | 등록일 2022.04.23
  • 워드파일 Verilog Basic, FPGA, 시프트 레지스터 카운터 예비레포트
    실험 제목 1) Verilog Basic, FPGA 2) 시프트 레지스터 카운터 2. ... 따라서 combinational logic을 표현할 때 wire를 주로 사용한다. integer: 정수형 변수 time, realtime: 시간형 변수 (시간에 따라 다른 값을 넣고 ... =, >, >= 관계 연산 논리 연산자 && 논리적 AND || 논리적 OR !
    리포트 | 8페이지 | 1,000원 | 등록일 2022.11.06
  • 워드파일 전자전기컴퓨터설계실험3 - 예비레포트 - 실험10 - MOSFET(CMOS Inverter) (A+)
    Materials & Methods (실험 장비 및 재료와 실험 방법) - 2 - 가. ... PMOS에서 Threshold Voltage는 음의 값을 갖는다. 2. Materials & Methods (실험 장비 및 재료와 실험 방법) 가. ... (마) Computer & Pspice program : 1 ea. (2) 실험에 필요한 소자 목록을 작성하시오.
    리포트 | 11페이지 | 2,000원 | 등록일 2020.11.26 | 수정일 2020.11.29
  • 한글파일 서강대학교 디지털논리회로실험 - 실험 6. Flip-flops and Shift Registers 예비 보고서
    디지털논리회로실험 예비 보고서 [7주차] 실험 6. Flip-flops and Shift Registers 1. ... 참고문헌 1) Stephen Brown & Zvonko Vranesic, Fundamentals of Digital Logic with VHDL Design, 3판, McGraw-Hill ... logic circuit) : 출력이 현재의 입력과 현재의 상태에 의해 결정됨 2) 래치와 플립플롭 : 1비트의 정보를 저장할 수 있는 회로 ① 래치 (Latch) : 클럭 신호의
    리포트 | 10페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 워드파일 [서울시립대] 전자전기컴퓨터설계실험2 / Lab04(결과) / 2021년도(대면) / A+
    Materials and Methods 가. 실험 장비 HBE Combo-II SE 3. ... Post-report Combinational Logic 1 실험날짜 : 학번 : 이름 : 1. Introduction 가. ... 실험의 목적 Verilog HDL 언어를 사용하여 Combinational Logic을 설계 및 실험하고, 설계한 로직을 시뮬레이션하기 위한 벤치를 작성하고 장비로 동작을 확인한다.
    리포트 | 13페이지 | 2,000원 | 등록일 2022.07.16
  • 워드파일 (완전 세세한 정리, 끝판왕) 시립대 전전설2 6주차 Lab06 예비 레포트 Sequential Logic 1, 전자전기컴퓨터설계실험2,
    실험 목적 본 실험에서는 Verilog HDL 언어를 사용하여 Flip-Flop, Register, SIPO 등 Sequential Logic을 설계 및 실험하고자 한다. 2. ... 전자전기컴퓨터공학부 설계 및 실험2 Pre La-06 Sequential Logic 1 (Flip-Flop, Register, SIPO, counter) 실 험 날 짜 학 번 이 름 ... 실험 목적··········································3 2.
    리포트 | 14페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.24
  • 워드파일 시립대 전전설2 Velilog 결과리포트 2주차
    , 내부 Logic Cell 배치에 따라 delay Time의 결과가 달라진다 - 실제 하드웨어 동작 상황에 대한 시뮬레이션 5) AND Gate - 출력은 논리 입력의 곱과 같음 ... Velillog 2주차 And 게이트와 HalfAdder 게이트 Major 전자전기컴퓨터공학부 Subject 전자전기컴퓨터설계실험2 Professor Student ID Number ... 실험 결과 AND AND Schematic 회로도 구동 상태 확인 PIN설정 설정 A B X 핀 설정값 P63 P67 P191 실제 핀 버튼 스위치1 버튼 스위치2 LED 1 ① ②
    리포트 | 9페이지 | 1,000원 | 등록일 2021.04.16
  • 워드파일 아주대학교 일반전자공학실험 Digital I/O A+ 결과보고서
    Exercise 5-4 LabVIEW Logic State Analyzer 마지막 실험인 Exercise 5-4 은 한 시점에서의 디지털 출력 상태만을 다룬 이전 실험과는 다르게 시간 ... , Q2 to and LED , Q4 to LED , Q8 to and LED , 555 to LED ) > LED7번에 비해 LED 0-3번이 천천히 깜빡거린다. > 카운트하고 있다는 ... to LED , Q2 pin9 to and LED , Q4 pin8 to LED , Q8 pin11 to and LED , 555 pin3 to LED ) 0,1,10,11,100
    리포트 | 11페이지 | 2,000원 | 등록일 2022.05.14
  • 한글파일 디지털논리회로실험(Verilog HDL) -BCD counter, HEELO shifter
    실험2.1 Part Ⅳ : BCD 카운터 설계◉실험목적 : 50-MHz clock을 사용하여 counter를 구현해본다.(1) SummaryDesign and implement a ... 50,000[Hz]*1,000[ms] = 50,000,000◉Behavioral Verilog ⓵ Initial-Behavior block operates ONCE-Starts at time ... for testbenches-Can sometimes provide initialization of memories/FFs-Inappropriate for combinational logic-Usually
    리포트 | 6페이지 | 1,000원 | 등록일 2019.08.29
  • 한글파일 (A+/이론/예상결과/고찰) 아주대 논리회로실험 예비보고서2
    음을 의미하는 negative의 n을 따 NMOS라고 한다. - Logic levels & DC noise margins ( 논리 소자의 logic level 판정 방식 ) cf) ... PLH}(TIME propagation low to high)라고 한다. 3) 실험부품 1. 5V 전압원 2. ... Multimeter를 이용하여 2번 핀의 전압 Vout과 Iout, IoL를 각각 실험 3-1, 3-2에서 측정을 한 후 Rn과 Rp를 계산한다.
    리포트 | 8페이지 | 1,000원 | 등록일 2021.10.24
  • 한글파일 디지털시스템실험 2주차 예비보고서
    이번 실험의 회로도 및 진리표 이번 실험에서의 실험 circuit은 그림과 같고 진리표는 다음과 같다. inp1 inp2 inp3 inp4 result 0 0 0 0 1 0 0 0 ... HBE-COMBO2-DLD PIN Map 실험에 사용되는 HBE-COMBO2-DLD FPGA 보드는 다음과 같은 I/O 들로 구성되어 있다. A. Clock B. Reset C. ... 디바이스 주변에 입출력용 I/O블록이 있고 내부에 소형 Logic Cell이 매트릭스 모양으로 배열되고 Logic Cell간에 배선영역이 있다.
    리포트 | 4페이지 | 1,000원 | 등록일 2020.07.29
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업