우리나라의 ITS는 2002년 이후 지방자치단체를 중심으로 구축되어 그 영역을 지속적으로 확대해 왔다. ITS 구축 효과에 대해서는 대부분 긍정적인 평가를 내리고 있다. 하지만 대부분의 경우 초기 ITS 설계 시 제안되었던 교통관련 이론이나 연구 내용을 실제로 운영하는..
최근 다양한 정보제공하에서 통행자의 반응과 그에 따른 교통시스템의 변화를 추정하고이를 적용하는 연구가 활발히 진행되고 있다.이에 따라 도심 내의 혼잡 등에 따른 동적 현상을 분석하기 위한 미시적 시뮬레이션 개발에대한 관심이 증가하고 있는 추세이다. 이러한미시적 시뮬레이..
조사방법론상 모의실험(simulation) Ⅰ. 의의와 특성 1. ... 컴퓨터 시뮬레이션(all-computer simulation) 이는 인간 대신 컴퓨터에 의해 게임이 수행되는 것 이외에는 게임시뮬레이션과 다를 것이 없다. ... 인간 시뮬레이션(all-people simulation) 이는 인간이 다양한 경쟁 상태 하에서 취하는 실제적인 경쟁상황을 모형으로 만든 것으로 상호 대치하는 두 사람이 주어진 규칙(
일반적으로 교통운영 시스템에 대한 효과를 평가하기 위해서 구간통행 시간, 통행속도, 지정체율 등과 같은 효과척도(MOE:measure of effectiveness)가 사용된다. 그러나, 에너지에 대한 관심이 극히 높은 상황에서는 기존의 교통측면의 효과척도 이외에 에..
Ⅰ. 시뮬레이션 게임 1. 시뮬레이션 게임의 의미 시뮬레이션 게임은 시뮬레이션의 성격과 게임의 요소를 동시에 갖고 있는 모의 놀이 학습의 일종이다. 시뮬레이션 게임은 실제 생활의 사실을 나타내는 내용을 게임적 요소, 특히 승패의 요소를 도입하여 아동들에게 지도하는 수업..
시뮬레이션(simulation)은 대부분의 경영과학기법들이 계량적인 모형에 의해서 문제를 해결하는 것과는 달리 계량적인 모형으로 풀 수 없는 문제를 해결하는 기법이다. ... 시뮬레이션의 실질적인 활용 예로는 우주선 조종사들의 훈련을 위해 지상에서 사용하는 모의비행시설(simulation)과 자동차의 안전성 검사를 위한 충돌시험시설 등이 있다. ... ――――――――――――――――――――― ――――――――――――――――――――――――――――――――――――――――――――――――――――――――――――――――――――――― 시뮬레이션(simulation
Simulation of Rayleigh fading signal and LCR ◐Rayleigh fading distribution simulation based on Jakes’ ... model with MATLAB ◐Given condition IR(t)= QUOTE QR(t)= QUOTE Where QUOTE , N=34 N=8, N0=34 ◐Sequence of simulation ... (m, :)=2*sum_I(m, :)+sqrt(2)*cos(Wm(m)*t); sum_Q(m, :)=2*sum_Q(m, :); sig=sum_I(1, :)+j*sum_Q(1, :);
제목 : 32bit instruction simulator 구현 2. ... 목적 : 32bit instruction simulator 구현하고 dataflow를 관찰한다. - 수업시간을 통해 학습한 RTL 구조를 이해하고, 관련 지식을 바탕으로 C언어로 simulation을 ... 이러한 단점을 보완하기 위해서 resister base의 architecture를 구현하게 되었으며, static analysis 방법인 behavior study와 spatial,
Signal Operation a) R2=10kΩ, RL=10kΩ로 구성하고, 입력노드 S에 0.1 Vpp, 1kHz 삼각파형을 인가한다. b) 오실로스코프를 이용하여 노드 S, A ... , B의 전압을 측정하고, S to B 전압이득, A to B 전압이득을 구한다. c) 입력 amplitude를 증가시키면서, 입력 S와 출력 B의 peak 전압을 측정한다. d) ... A B Node 전압(V) Vp+ Vp- S 0.05 -0.05 A -3.016 -3.049 B -3.659 -3.692 전압이득 S to B Vp+ -73.18 Vp- 73.84
Tests were taken by 54 sophomore students (traditional lecture group : 27, web based
simulation group ... In
terms of learning attitude toward the web based simulation instruction, students were satisfied ... Based on the results of this study, a few suggestions can be made for web based simulation
program to
seg_code; architecture sample of seg_code is begin a ... 회로도 3-4 소스코드와 설명 library ieee; -- 라이브러리 지정 use ieee.std_logic_1164.all; entity seg_code is port(A0, A1 ... , A2, A3 : in std_logic; -- A0 ~A3 까지 입력 포트 a, b, c, d, e, f, g : out std_logic); -- a ~ g 까지 출력 포트 end
OLED 11th Experiment Molecular simulation and E-level measurement 1. ... Abstract Materials Studio program을 사용하여 Molecular simulation을 해보고 유기물질의 LUMO와 HOMO값과 밴드갭을 측정한다. ... 이 실험에서는 Accelrys사의 Materials Studio program을 이용하여 실제 유기물을 제조하지 않고 가상적인 simulation을 통하여 LUMO와 HOMO값을 예상할
As expected on simulation, S11 went dothe real case, but the simulation does not consider the effect ... became more familiar with the HFSS simulation tool. ... Third simulation – increase the size of the antenna [EE342] Radio Engineering As we make the antenna
모든 스핀들이 적절한 확률에 따라 flip이 일어나는 시행을 sweep이라고 할 때, 각각의 평균값은 평형상태에 도달한 후에 각 sweep에 대한 평균 스핀을 모두 더하여 총 sweep ... 다음은 각 온도(왼쪽부터 30K, 300K, 3000K)에서 100번째 sweep까지의 평균 스핀값을 각 자기장에 따라 구분지어 그래프로 그려보았다. ... T1일 때 자기장이 0인 점에서 심한 fluctuation을 일으켜서 총 sweep 횟수를 다른 온도에서 보다 10배 많은 1000를 더해서 평균을 구하였다.