• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(141)
  • 리포트(139)
  • 시험자료(2)

"decoder demultiplexer" 검색결과 1-20 / 141건

  • 한글파일 Decoder, encoder와 multuplexer, demultiplexer 예비 report
    실험 제목 Decoder, encoder와 multuplexer, demultiplexer 2. ... 실험 목적 · Decoder, encoder와 multiplexer, demultiplexer의 동작을 알아보고 진리표를 작성한다. · 디코더와 인크도의 특성을 확인하고 부호 변화기의 ... 실험에 필요한 기본 지식 (1) 디코더(Decoder) 디코더란 2진 부호와 같은 BCD 코드를 부호가 없는 형태로 바꾸는 변환회로를 말한다.
    리포트 | 13페이지 | 2,000원 | 등록일 2015.11.01
  • 한글파일 Decoder, encoder와 multuplexer, demultiplexer 결과 report
    실험 제목 Decoder, encoder와 multuplexer, demultiplexer 2. 결과 분석 1. ... Decoderdemultiplexer 그리고 encoder와 multiplexer를 각각 비교 설명하라. ... 8선 decoder를 설계하라. 2. Inverter와 AND gate를 사용하여 3선 ? 8선 decoder를 설계하라. 3.
    리포트 | 9페이지 | 2,000원 | 등록일 2015.11.01
  • 한글파일 [전자회로실험] Decoder, encoder와 multiplexer, demultiplexer
    #10 Decoder, encoder와 multiplexer, demultiplexer 10.1 실험목적 1. ... Decoder, encoder와 multiplexer, demultiplexer의 동작을 알아보고 진리표를 작성한다. 2. ... 밀티플렉서와 디멀티플렉서의 구성방법을 익혀 각종 플렉서를 만들 수 있는 능 력을 키운다. 10.2 관련이론 (1) 디코더(Decoder) 디코더란 2진 부호와 같은 BCD 코드를 부호가
    리포트 | 26페이지 | 1,000원 | 등록일 2003.10.25
  • 한글파일 [전자회로실험] Decoder, encoder와 multiplexer, demultiplexer(결과)
    실험 #10 Decoder, encoder와 multiplexer, demultiplexer 실험 결과 1> 다음 그림 10.1의 회로를 구성하고 진리표를 실험에 의해 완성하라. - ... 이 디코더는 low active 소자로 만들 기 쉽고 값면에서 싸기 때문에 사용한다고 한다. -3 7442는 4비트의 BCD를 10진수(Decimal)로 바꾸어 주는 Decoder이다 ... 입력 D7의 값이 출력 1로 나가게 됨을 나타낸다. 6> 다음 그림 10.6 의 회로를 구성하고 표 10.6 의 진리표를 실험에 의해 완성하라. -1 74139는 2×4 Line Decoder
    리포트 | 9페이지 | 1,000원 | 등록일 2003.10.25
  • 한글파일 전자전기컴퓨터설계실험2(전전설2) (5) Encoder and Mux
    Decoder (03) 2.3. Multiplexer (04) 2.4. Demultiplexer (04) Ⅱ. 본론 (05) 1. 실험 장비 (05) 2. ... 실험 방법 (05) 2.1. 3 × 8 Decoder (05) 2.2. 4 × 2 Encoder (12) 2.3. 2 × 1 Multiplexer (13) 2.4. 1 × 4 Demultiplexer ... 실험 결과 (21) 3.1. 3 × 8 Decoder (21) 3.2. 4 × 2 Encoder (28) 3.3. 2 × 1 Multiplexer (33) 3.4. 1 × 4 Demultiplexer
    리포트 | 54페이지 | 2,000원 | 등록일 2019.10.12 | 수정일 2021.04.29
  • 한글파일 홍익대 디지털논리실험및설계 4주차 예비보고서 A+
    DecoderDemultiplexer의 기능을 동시에 할 수 있음을 설명하시오. ... 이를 이용하여 기본 실험 (2)를 어떻게 결선할 수 있는지 설명하시오. - Demultiplexer는 쉽게 말해 Output 단자를 선택하는 단자이다. ... 74139 - 1-of-4 Decoder 74139도 마찬가지로 Vcc와 GND를 결선해야한다. 1-of-4 Decoder가 2개 들어있다. 1.3 4-to-1 Multiplexer
    리포트 | 7페이지 | 1,000원 | 등록일 2023.09.18
  • 한글파일 서강대학교 디지털논리회로실험 - 실험 4. Multiplexer, Demultiplexer and Comparator 예비 보고서
    [그림 7]의 2-to-4 Decoder를 1-to-4 demultiplexer로 사용할 수 있다. ... 일반적으로 n-to- 2^{ n} decoder는 1-to- 2^{ n} demultiplexer로도 사용될 수 있다. ... Multiplexer, Demultiplexer and Comparator 1.
    리포트 | 9페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 한글파일 디지털공학개론(반가산기 전가산기, 고속가산기, 비교기, 디코더, 인코더, 멀티플렉서, 디멀티플렉서 )
    S1과 S0의 값에 따라 어떤 입력이 출력되는 가를 선택하는 것이라고 할 수 있다. 9) 디멀티플렉서(Demultiplexer) 하나의 input으로 데이터를 받아 여러 개의 출력선 ... z 2개의반가산기와 1 OR 게이트로 구현 4) 디코더 (Decoder) 디코더는 인코더와 정반대 기능을 수행하며, n 비트의 2진 코드 입력에 의해 최대 2ⁿ개의 출력이 나오므로 ... 인코더(Encoder)는 주로 입력 신호를 컴퓨터 내부에서 사용하는 코드로 변경하고, 디코더(Decoder)는 컴퓨터 내부의 코드를 일반적인 신호로 변경하여 출력한다. < 참고자료>
    리포트 | 6페이지 | 8,000원 | 등록일 2021.11.29
  • 한글파일 디지털 논리회로 실험 4주차 Multiplexer 예비보고서
    반대로 Disable 상태로 놓으면 멀티플렉서 출력값이 나오지 않는다. 4) 2-to-4 Decoder 74139가 DecoderDemultiplexer의 기능을 동시에 할 수 ... 실험 목적 멀티플렉서(multiplexer)와 디멀티플렉서(demultiplexer)의 동작 원리 및 특성을 살펴본다. 2. ... 실험 기기 및 부품 4-to-1 Multiplexer 74153, 2-to-1 Multiplexer 74157, 2-to-4 Decoder 74139, 3-INPUT AND 게이트
    리포트 | 12페이지 | 1,500원 | 등록일 2021.04.22 | 수정일 2022.04.17
  • 한글파일 충북대 기초회로실험 Multiplexer 가산-감산 예비
    decoder를 1 X 4 demultiplexer로 변환시켜라. 2 X 4 decoder의 Enable 단자를 입력으로, 2 X 4 decoder의 입력을 제어입력으로 사용하면 1 ... Decoder는 입력에 따라 출력을 결정하는 회로이고 demultiplexer는 입력된 데이터를 제어입력으로 출력선을 결정하는 회로이다. (2) Enable 단자가 있는 2 X 4 ... X 4 demultiplexer로 변환된다.
    리포트 | 3페이지 | 1,500원 | 등록일 2021.09.10 | 수정일 2021.09.15
  • 워드파일 디지털 논리회로 실험 및 설계 4주차 예비보고서
    그러면 INPUT을 Address에 대해서 OUTPUT으로 출력해주는 Demultiplexer의 역할과 Address INPUT의 값을 decode해주는 Decoder의 회로가 완성된다 ... EN이 LOW일 땐 독립적으로 결과값들이 작용하지만, EN이 HIGH일 땐 출력값은 무조건 LOW가 나오게 된다. 1.4 1-of-4 Decoder 74139가 DecoderDemultiplexer의 ... 선택하여 출력을 함에 있어서 Demultiplexer의 기능을 할 수 있음을 확인 할 수 있고, 를 각 bit라고 했을 때 를 2진수 값으로 변환한 값의 번호의 출력값을 내놓기 때문에
    리포트 | 5페이지 | 2,000원 | 등록일 2023.01.31
  • 한글파일 회로실험I 예비보고서 - Multiplexer 가산-감산
    그러므로 2*4 디코더는 1*4 디멀티플렉서가 된다. (2) Enable 단자가 있는 2 X 4 decoder를 1 X 4 demultiplexer로 변환시켜라. ... Subtract Borrow 0 0 0 0 0 0 0 1 1 1 0 1 0 1 0 0 1 1 0 0 1 0 0 1 1 1 0 1 0 1 1 1 0 0 0 1 1 1 1 1 예비과제 (1) Decoder와 ... 멀티플렉서(Multiplexer) - N개의 입력 데이터에서 1개의 입력만을 선택하여 단일 채널로 전송하는 것 - 디멀티플렉서(Demultiplexer)는 이와 반대의 동작을 함 -
    리포트 | 3페이지 | 1,500원 | 등록일 2019.05.13 | 수정일 2020.05.06
  • 워드파일 [서울시립대] 전자전기컴퓨터설계실험2 / Lab05(예비) / 2021년도(대면) / A+
    N개의 입력 데이터 중 하나를 선택하고, 선택된 자료를 하나의 출력 채널에 전송하는 장치 - 출력할 데이터의 입력 단자는 선택 입력 신호(S)에 의해 제어됨. (6) DEMUX(Demultiplexer ... [실습 1] 2:4 Decoder를 설계하시오. Source code Testbench b. ... 실험의 목적 Verilog HDL 언어를 사용하여 Combinational Logic을 설계 및 실험(Encoder/Decoder, Mux/Demux 등)하고, 설계한 로직을 시뮬레이션하기
    리포트 | 13페이지 | 2,000원 | 등록일 2022.07.16
  • 워드파일 [서울시립대] 전자전기컴퓨터설계실험2 / Lab05(결과) / 2021년도(대면) / A+
    N개의 입력 데이터 중 하나를 선택하고, 선택된 자료를 하나의 출력 채널에 전송하는 장치 - 출력할 데이터의 입력 단자는 선택 입력 신호(S)에 의해 제어됨. (6) DEMUX(Demultiplexer ... 하나의 출력 채널에 전송하는 장치이다). sel=0일 경우에는 A의 입력을 출력으로 나타내고, 반대로 sle=1일 경우에는 B의 입력을 출력으로 나타낸다. - 실험(6)의 DEMUX(Demultiplexer ... Result of this lab (1) [실습 1] 2:4 Decoder를 설계하시오.
    리포트 | 19페이지 | 2,000원 | 등록일 2022.07.16
  • 워드파일 서강대학교 21년도 디지털논리회로실험 4주차 결과레포트 (A+자료) - Multiplexer, Tri-State, Exclusive-OR gate
    그림1 – MUX의 구조 MUX와 반대로, 하나의 입력을 m개의 목적지 충 하나와 연결하는 소자는 demultiplexer라고 한다. enable 신호를 갖는 binary decoder를 ... 이는 2to4 binary decoder와 유사하다. decoder의 enable 신호를 demux의 입력신호로, decoder의 input code를 demux의 selector ... 검토사항 1) multiplexer와 반대로, 한 개의 입력을 여러 개의 출력 중 하나에 연결하는 소자를 demultiplexer라고 한다. 2-bits demux에는 하나의 입력
    리포트 | 35페이지 | 2,000원 | 등록일 2022.09.18
  • 워드파일 multiplexer 가산-감산 예비보고서(고찰포함)A+
    참고 자료 / 이론 Decoder n비트의 이진 코드를 최대 2n가지의 정보로 바꿔주는 조합 논리회로 이다. 3X8 디코더는 3비트의 입력,C,B,A와 8비트의 출력 Y로 이루어지며 ... 원리 및 이론 멀티플렉서 멀티플렉서는 N개의 입력 데이터에서 1개의 입력만을 선택하여 단일 channel로 전송하는 것을 말하고, demultiplexer은 이와 반대의 동작을 한다 ... , 3개의 입력들의 조합으로 8종류의 출력 중 하나의 출력이 선택된다 Demultiplexer = Enable 입력을 가진 디코더 신호선에서 정보를 받아 2^n개의 출력선 중 하나에
    리포트 | 6페이지 | 2,000원 | 등록일 2024.04.19 | 수정일 2024.04.21
  • 한글파일 Mux&Decoder2차레포트 디지털회로설계
    DEMUX(DeMultiplexer) 멀티플렉서의 반대의 개념, 인풋은 하나가 들어오는데 select를 통해 다양한 출력을 뽑을 수 있게 하는 것 이다 Decoder 디코더는 활성화될 ... Schematic , VHDL (5) FND Decoder VHDL 을 Schematic과 VHDL 설계하고 DE2 보드로 작동하기 제 3장 요약 및 결론 레포터의 목적 (1) 1비트 ... Schematic , VHDL (5) FND Decoder VHDL 을 이용하여 구동하고 구현한 회로를 DE2보드를 사용하여 동작 결과 확인하기 논리게이트를 사용한 흐름제어 예를
    리포트 | 15페이지 | 2,000원 | 등록일 2022.01.05
  • 한글파일 아주대학교 논리회로실험 / 4번 실험 Multiplexer & Demultiplexer 예비보고서
    다이어그램 진리표 A B C D Y 0 X X X 1 X 0 X X 1 X X 0 X 1 X X X 0 1 1 1 1 1 0 IC 이름 74HC139 (Dual 2-to-4 line decoder ... wikipedia, (2020.09.23.), (2020.09.23.), ‘Demultiplexer’, https://en.wikipedia.org/wiki/Multiplexer#Digital_demultiplexers ... Demultiplexer 실험 결과 및 비교 1번 결과) 해당 회로의 경우 보수값을 사용하지 않는다.
    리포트 | 5페이지 | 1,000원 | 등록일 2021.07.20
  • 워드파일 서울시립대학교 전전설2 5주차 예비레포트(코딩 성공적, A+, 10점 만점 11점)
    출력할 데이터의 입력 단자는 선택 입력 신호 (S)에 의해 제어된다. (4) DEMUX(demultiplexer) Mux의 반대의 기능으로서, 하나의 입력신호를 여러 출력 port ... Encoder/Decoder, Mux/Demux 등을 설계한다. 다양한 설계 방법 등을 실험한다. 나. ... Pre-Lab Report - Title: Lab#05 Combinational Logic 2(Encoder/Decoder & Mux/Demux) 담당 교수 담당 조교 실 험 일 학
    리포트 | 28페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.15
  • 워드파일 서강대학교 디지털논리회로실험 레포트 4주차
    이번 실험에 사용된 demux는 D2_4E로 decoder를 사용하였다. ... 이 때, 상대편에서는 bus를 통해 전달된 신호를 m개의 목적지 중 하나에 연결시키는 역할을 하는 소자가 필요한데, 이를 demultiplexer라고 한다. ... 그림 SEQ 그림 \* ARABIC 22. 74x157의 datasheet (2)Multiplexer의 반대 기능을 수행하는 소자를 demultiplexer라고 한다.
    리포트 | 24페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업