• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(16)
  • 리포트(16)

"VendingMachine" 검색결과 1-16 / 16건

  • 파일확장자 [A+] 디지털논리회로 VendingMachine
    리포트 | 15페이지 | 4,500원 | 등록일 2021.08.04
  • 파워포인트파일 베릴로그 자판기설계, Vendingmachine Verilog (코드,타이밍밴치,ppt)
    Vending Machine 1. 설계목표 Vending Machine 설계사양 지정 자판기에 투입되는 동전은 500 원 , 1000 원 2 가지로 가능합니다 . 최대 합산할 수 있는 돈은 2000 원입니다 . 입력 받은 돈이 2000 원을 초과할 수 없습니다 . 초과..
    리포트 | 24페이지 | 1,500원 | 등록일 2014.06.13
  • 한글파일 [서울시립대] A+ 전자전기컴퓨터설계2(mealy,moore,코드포함) 7주차예비레포트
    전자전기컴퓨터설계실험 2 예비 레포트 실험 제7주 (2021. 11. 09) Lab#07 Sequential_Logic_Design_Ⅱ @ FSM and Clocked_Counter 학번: 이름: 서론 1. 실험 목적: mealy machine, moore machin..
    리포트 | 14페이지 | 1,000원 | 등록일 2021.12.30
  • 한글파일 VHDL VLSI SOC 설계vending machine
    vending machine 1. vending library ieee; use ieee.std_logic_1164. all; use ieee.std_logic_unsigned. all; use ieee.std_logic_arith.all; entity vending ..
    리포트 | 3페이지 | 1,000원 | 등록일 2018.11.18
  • 한글파일 식권발매기
    *;class VendingMachine{public int m1=3000;//정식 가격public int m2=2000;//비빔밥 가격public int m3=1000;//김밥 가격public
    리포트 | 6페이지 | 1,000원 | 등록일 2004.10.05
  • 한글파일 Vending Machine 설계
    과 목 명 : 응용논리회로설계 교 수 님 : 조 용 범 교수님 학??? 과 : 전자정보통신공학과 학 번 : 학 년 : 3 학 년 성??? 명 : 제출일자 : 2005. 11. 15 Vending Machine Vending Machine ? VHDL source co..
    리포트 | 7페이지 | 10,000원 | 등록일 2009.05.27
  • 한글파일 설계명세서(소프트웨어 공학)
    인터페이스 내용 void temp_hmt_manage(vendingMachine vending[] ) { IF 데이터 정보를 음료수 정보 요청(A.1), 자판기 정보 요청(A.2)으로
    리포트 | 43페이지 | 3,000원 | 등록일 2016.10.12 | 수정일 2023.09.23
  • 파일확장자 [자바 프로그래밍 음료수 자동 판매기][자바 프로그래밍] 음료수 자동 판매기 소스
    vm= new VendingMachine();vm.setDefaultCloseOperation(JFrame.EXIT_ON_CLOSE);}} ... *;public class VendingMachine extends JFrame {private SelectPanel selectPanel;private InputPanel inputPanel ... ;private OutputPanel outputPanel;public VendingMachine(){super("음료수 자동판매기");Container container = getContentPane
    리포트 | 2,000원 | 등록일 2006.05.09
  • 파일확장자 Vending Machine 설계 과제
    시뮬레이션은 모든 Case를 다해본 것이 아니라 대표적인 Case들 만을 지정하여 설계한 Vending Machine이 예상했던 바에 따라 동작하는 것을 확인해 볼 수 있었다. 먼저 거스름돈이 없는 경우와 거스름돈이 있는 경우, 음료수를 선택함에 있어 고민을 한 경우와..
    리포트 | 12페이지 | 2,500원 | 등록일 2009.09.01
  • 한글파일 자판기(vending machine) VHDL
    ;architecture rtl of vendingmachine is--segment display functionfunction dis_seg(cnt : integer range ... 가정한다.1.2 VHDL 코드 설계library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity vendingmachine ... ;--주스count_dec : out std_logic_vector(6 downto 0);sel_decode: out std_logic_vector(5 downto 0));end vendingmachine
    리포트 | 8페이지 | 2,000원 | 등록일 2007.04.12
  • 파워포인트파일 자판기
    자판기 VHDL 코드 library ieee ; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all ; entity vendingmachine ... ; architecture rtl of vendingmachine is --segment display function function dis_seg ( cnt : integer ... std_logic_vector (6 downto 0); sel_decode : out std_logic_vector (5 downto 0)); end vendingmachine
    리포트 | 11페이지 | 1,500원 | 등록일 2009.10.10
  • 한글파일 [C++] 커피자판기 (vending machine)
    #ifndef VENDINGMACHINE_H#define VENDINGMACHINE_H#include "Containers.h"#include "Moneycase.h"#include ... "Panel.h"class Vendingmachine {Containers *Cont;Moneycase *Money;Panel *Msg;public :Vendingmachine() ... ;~Vendingmachine();void Run();void User();void Admin();};#endif// Containers.cpp#include #include "Containers.h
    리포트 | 19페이지 | 2,000원 | 등록일 2004.06.30
  • 한글파일 식권 자동판매기 프로그램 소스
    .*; //IOException의 패키지.public class VendingMachine{static int mainmenu; //클래스 변수 선언.static int menu(int ... :\Documents and Settings\Owner\java>java VendingMachine돈을 투입하세요^^.500총 금액 = 500원금액을 부족합니다. ... inputMoney; //돈의 투입을 위한 변수.int money=0; //투입된 돈의 합.int change=0; //총 잔액.while(true){while(moneyjavac VendingMachine.javaC
    리포트 | 8페이지 | 1,000원 | 등록일 2007.12.22
  • 파일확장자 자판기프로그램, vending muchine
    // VendingMachineDlg.cpp : implementation file//#include "stdafx.h"#include "VendingMachine.h"#include
    리포트 | 2,000원 | 등록일 2005.05.18
  • 한글파일 [자바] 자판기 구현소스
    *;public class VendingMachine extends Frame{final static int PRICE = 300; // 상품 가격CardLayout card; // ... adminCoffee, adminCreamer, adminSugar, adminRedtea;Connection con;Statement stmt;ResultSet rs;public VendingMachine
    리포트 | 13페이지 | 10,000원 | 등록일 2003.05.10
  • 한글파일 [프로그래밍] 자바(자판기 프로그램 분석)
    .*; // (1) 자바 입출력을 담당하는 클래스public class VendingMachine { // 메인 클래스 시작public static void main(String args
    리포트 | 15페이지 | 1,000원 | 등록일 2002.11.16
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 06월 17일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:20 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기