• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(2,878)
  • 리포트(2,793)
  • 시험자료(52)
  • 논문(15)
  • 서식(7)
  • 자기소개서(5)
  • 방송통신대(3)
  • ppt테마(3)

"7seg" 검색결과 1-20 / 2,878건

  • 한글파일 디시털시스템실험 - BCD 컨버터, 7seg 회로, 7seg 순차 컨트롤러 결과보고서
    ); //14// BCD_to_7segment second(P7, P6, P5, P4, a2, b2, c2, d2, e2, f2, g2); //15// SevenSeg_CTRL(nClk ... 을 전달함. //14// BCD_to_7segment 형 모듈 second를 선언하며 인자로 P7, P6, P5, P4, a2, b2, c2, d2, e2, f2, g2) 을 전달함 ... BCD 입력을 7-segment로 출력하는 디지털 회로 설계 3. Clock을 이용하여 7-Segment를 순차적으로 표현하는 Controller 구현 4.
    리포트 | 6페이지 | 1,500원 | 등록일 2020.11.14
  • 한글파일 [디지털 공학 실험] 7-seg로 디지털 시계 만들기 보고서
    (시/분/초 00 00 00) - RESET 단자를 이용하여 모든 표시가 (시/분/초 00 00 00)으로 표시된다. - 원하는 시간을 setting 할 수 있는 기능을 포함해야한다 ... 실험 목적 7조 제출일자 팀원이름(학번) 설계 목표 ● 한 학기 동안 배운 디지털 공학 실험 이론을 통하여 디지털 시계를 설계한다. - SET 단자를 누를 시 시계의 동작 기능을 한다 ... v=OLQwx1y7OMs Making [hh/mm] Digital Clock Using Several Ics https://www.youtube.com/watch?
    리포트 | 12페이지 | 2,000원 | 등록일 2020.01.01
  • 한글파일 Evaluator-7T를 이용한 7-seg와 LED 제어
    목 10 조 전자공학실험 2 (#3, Evaluator-7T를 이용한 7-seg와 LED 제어) #목 10조 7-seg와 LED 제어 1.Title Evaluator-7T를 이용한 ... 7-seg와 LED 제어 2.Name 3.Abstract Evaluator-7T를 이용하는 첫 번째 실험으로 S3C4510B microcontroller에 대해 이해하고, 7-seg와 ... ] BLdly ldr r6, seg9 str r6, [r0, r2] BLdly ldr r6, seg8 str r6, [r0, r2] BLdly ldr r6, seg7 str r6,
    리포트 | 35페이지 | 3,000원 | 등록일 2010.10.17
  • 한글파일 디지털시스템설계 7-segments 실습보고서
    이 코드로 7-Segment를 제어한다. 2) FND decorder source 모듈의 이름을 bin2seg로 설정하고, bin_data를 4비트 2진수인 입력(인풋) 데이터로 선언 ... FND_COM, seg0 ~ seg3 중 하나의 값이 변하면 always문을 실행하게 되는데, 이 때 FND_COM은 cnt4의 값에 의해 해당하는 segment를 선택한다. ... 그 외의 내부 신호는 ent_time0, cnt64k, cnt4, regseg0 ~ regseg3, seg0 ~ seg3으로 선언하였다. 1초를 생성하는 코드이다.
    리포트 | 11페이지 | 2,000원 | 등록일 2022.10.28
  • 한글파일 충북대 디지털시스템설계 결과보고서5
    따라 해당하는 segment를 선택하고 숫자를 7-segment에 맞게 변환하는 서브모듈인 bin2seg를 불러와 4개의 7-segment들을 변환시킨다. ... FND decoder code bin2seg 모듈은 입력된 10진 숫자들을 7-segment 출력에 맞게 변환한다. ... 다음 always문에서는 4개의 7-segment 중에 하나를 선택하기 위한 counter를 설계한다. 1sec counter를 통해 7-segment에 출력할 데이터를 계산한다.
    리포트 | 8페이지 | 1,500원 | 등록일 2022.02.12 | 수정일 2022.02.14
  • 한글파일 5주차 결과보고서 - 디지털 시스템 설계 및 실험 결과보고서
    BCD 각 4자리를 7-Segment로 출력하는 회로 module svnseg(in,seg); input [3:0]in; output [6:0]seg; reg [6:0] seg; always ... @ (in) begin case(in) 0: begin seg ... BCD 입력을 7-segment로 출력하는 디지털 회로 설계 3. Clock을 이용하여 7-Segment를 순차적으로 표현하는 Controller 구현 4.
    리포트 | 3페이지 | 1,000원 | 등록일 2020.07.29
  • 한글파일 [디지털 논리] AND, Not Gate를 이용한 4×10 Decoder을 이용하여 7-Segment 표시 Code 구현 과제 (소스 및 실행화면 포함)
    문제개요AND, Not Gate를 이용한 4×10 Decoder을 이용하여, 7-Segment 표시 Code 구현VHDL로 작성한 소스1) AND, Not Gate를 이용한 4×10 ... issignal s_and1, s_and2 : std_logic;begins_and1 ... in3, in4 : in std_logic;out1 : out std_logic);end ud_and4;--declare and2architecture sample of ud_and4
    리포트 | 6페이지 | 1,000원 | 등록일 2020.07.07
  • 한글파일 건국대학교 전기전자기초설계및소프트웨어실습 12주차 레포트 A+
    ]); digitalWrite(pinG, seg_data[FND0][6]); digitalWrite(pinDP, seg_data[FND0][7]); delay(2); delayMicroseconds ... 7]); delay(2); /*현 7-segment의 출력 값 serial 모니터에 출력*/ Serial.print(FND3); Serial.print(FND2); Serial.print ... (pinD, seg_data[FND0][3]); digitalWrite(pinE, seg_data[FND0][4]); digitalWrite(pinF, seg_data[FND0][5
    리포트 | 11페이지 | 7,000원 | 등록일 2024.04.14 | 수정일 2024.04.22
  • 한글파일 ELS coversation Q&A
    eg)It’s a day for everybody. 7.What do people do in the festival? ... eg)A very common one, Discovery channel, which focuses on popular science, technology, and history. 7 ... I’m the second child in my family. 7. What does your mother/father like?
    리포트 | 54페이지 | 2,000원 | 등록일 2023.03.20 | 수정일 2023.10.13
  • 파일확장자 NCLEX 요약정리 (+NGN 포함) - 성인간호 visual,auditory
    high) 휘파람 소리들리면 불륨 낮추기cleaned with alcohol wipes or a soft cloth, 물에 담그면 손상될 수 있음착용하는 동안 High heat (eg ... , hair dryers, heat lamps) 피하기침대 옆 탁자 위 상자 보관사용하지 않을 때 배터리 제거2-7. ... Treat > antibiotics2-7.- Tachycardia and elevated blood pressure, 통증 심한 경우 > 통증 먼저 해결 후 improve vital
    리포트 | 8페이지 | 4,000원 | 등록일 2024.02.20 | 수정일 2024.02.22
  • 한글파일 Applied English Phonology 6-7장 요약 (임용고사 영어음운론 필독서)
    . baby-sit, spoon-feed) adjective + verb (eg. dry-clean) verb + verb (eg. drop-kick) 동사 복합어 역시 의미는 끝 ... . nationwide, seasick) adjective + adjective (eg. red hot) preposition + adjective (eg. overripe) 이 경우도 ... 영어 compound 중 거의 90퍼센트 예)adjective + noun (eg. white house) verb + noun (eg. stop watch) particle/adverb
    시험자료 | 22페이지 | 4,000원 | 등록일 2023.07.21
  • 한글파일 Applied English Phonology (AEP) 3-4장 요약
    , at last 7) alveolar stops are realized as dental when they occur immediately before interdentals: eg ... /는 폐쇄음절과 개방음절 모두에 사용 eg) spa, car, prom 2) /? ... this process is intervocalic, when the second syllable is not stressed eg) attic vs. attack 각 쌍의 두 번째
    시험자료 | 12페이지 | 3,500원 | 등록일 2023.07.21
  • 파일확장자 NCLEX 요약정리 (+NGN 포함) - 성인간호 neurologic
    1. postictal state 발작 후 상태- Lamotrigine > 다른 항경련제 (eg, valproate) 에 비해 선천성 기형 발생 낮아서 childbearing 가임기에 ... 처방갑자기 중단 후 Preseizure auras (eg, blurred vision, tinnitus 이명, nausea) and sudden loss of consciousness ... , Butalbital-acetaminophen-caffeineEpilepsy 뇌전증 tinnitus 귀울림 childbearing 출산, 분만2-7.- immediate follow-upCranial
    리포트 | 18페이지 | 7,000원 | 등록일 2024.02.20 | 수정일 2024.02.26
  • 한글파일 최소한의 IC칩을 사용해 7-segment 구동 실험
    분석 및 자료조사3 3. 7-Segment에 대한 진리표 작성8 4. 카르노맵 작성9 5. Quartus를 이용한 회로도 작성13 6. Quartus 시뮬레이션17 7. ... A부터 G까지 7개, DP 1개, 총 8개의 LED가 내장되어 있다. 7-Segment는 동작하는 방식에 따라 Anode와 Cathode Type으로 나누어진다. ... (출력은 되지만 정상적인 숫자가 아니다.) 7-Segment를 최소한의 게이트로 작동시키려면 7-Segment의 진리표를 작성하고 출력이 1이 아닌 0으로 묶고 SOP 식으로 나타내고
    리포트 | 31페이지 | 2,500원 | 등록일 2020.11.15 | 수정일 2022.04.23
  • 한글파일 Lower-spine KE STUDY
    S-I jt. : sacrum cranial prone co-nut. 7. S-I jt. : ilium medial side 8. ... R test (F,E) sit Lumbar seg. ... R test (F,E) side Lumbar seg.
    리포트 | 1페이지 | 1,000원 | 등록일 2021.11.02 | 수정일 2021.11.04
  • 워드파일 (A+, 3-1 컴퓨터, HTML웹프로그래밍) 주어진 HTML 요소(태그)에 대해서 다음 조건이 만족되도록 정리하시오.
    PAGEREF _qi8ufj2434ws \h 5 Hyperlink \l "_5y27yf4eg7z8" Section 1 PAGEREF _5y27yf4eg7z8 \h 5 Hyperlink ... 본론 PAGEREF _arolcxe0i15c \h 2 Hyperlink \l "_q7vvuuc0kfov" 1. ... key=index.html-383b3rticle 1 Lorem ipsum dolor sit amet, consectetur adipiscing elit.
    방송통신대 | 22페이지 | 5,000원 | 등록일 2023.01.23 | 수정일 2023.02.02
  • 한글파일 Upper-spine KE STUDY
    ) pro(elbow) C7-Tx facet FG(uni) pro(elbow) 반대측 EG mob' facet FG C7-T1 sit&side C6-7 mob' G(uni) sit ... R-test C-traction, C7찾기 1st Rib Tx. sit vertebral a. sit/sup V/ Test passive(C2-3) supine Side mob'(seg ... C-spine seg co V (Bi) supine C7 Traction(disc) sup(belt) C-Traction(occi→fix) sit Technic Movement Position
    시험자료 | 1페이지 | 1,500원 | 등록일 2021.11.02
  • 파일확장자 FPGA [ ROM & RAM ]
    => seg_decode := "0100100";코드에서 "0000000001"의 의미가 첫 번째 스위치를 점등한 값을 의미하는데 이 값이 7세그먼트로 숫자‘0’이기 때문에 DE2보드에 ... 파형에 대한 토의"0000000001" => seg_decode := "1000000";"0000000010" => seg_decode := "1111001";"0000000100" ... 결과가 seg_decode := "1111001" 이므로 DE2보드에 숫자 ‘5’가 점등되었고 여덟번째 스위치 on시킨 결과가 seg_decode := "0100100" 이므로 DE2보드에
    리포트 | 10페이지 | 1,500원 | 등록일 2020.10.22 | 수정일 2021.04.15
  • 한글파일 VHDL_4_counter, sequence detector, 4way traffic light counter, Soda vending machine
    = 0 SW : 01, State : S1 seg_hun : 1000000 -> 0, seg_ten : 0010010 -> 5 seg_one :1000000 -> 0 segment ... Reset = 0 SW : 00, State : S3 seg_hun : 1111001 -> 1, seg_ten : 0010010 -> 5 seg_one :1000000 -> 0 segment ... seg_ten : 0010010 -> 5 seg_one :1000000 -> 0 segment : 150, Soda = 0 4) 140ns, clock = rising_edge,
    리포트 | 34페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.04.04
  • 한글파일 논리회로설계실험 BCD가산기 레포트
    ) seg_1, seg_2, seg_3은 7비트로 각 자리를 7-segment로 나타낸 것이다.(8~10행) 14~18행은 BCD_ADDER의 컴포넌트를 선언한 것이다. 19~22행은 ... ) 아래는 seg(7)에서 seg(1)순서이다. f(a)`= {bar{A prime +B``+C`+D}} + {bar{C prime +A+B}} f(b)`=C(A OPLUS B) f ... 디코더는 자료흐름 모델링으로, 메인 코드인 BCD to 7 seg에서는 구조적 모델링으로 코딩해보았다.
    리포트 | 14페이지 | 7,000원 | 등록일 2021.10.09
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 06월 16일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:09 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기