• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(57)
  • 리포트(54)
  • 자기소개서(3)

"4bit ALU VHDL" 검색결과 1-20 / 57건

  • 한글파일 [컴퓨터 구조] VHDL을 이용한 4bit ALU 설계
    기능 3) 4 to 1 MUX의 기능 (4) 4-bit ALU의 진리표 2) VHDL을 이용한 4-bit ALU설계 3) 시뮬레이션후 출력값 확인 3. ... 본론 1) 4-bit Arithmetic Logic Shift Unit의 설계 (1) 4-bit ALU의 구성(블록도) {그림 4-bit ALU -. 4bit ALU는 위의 블록도와 ... 서론 -. 4-bit ALU에 대하여 2.
    리포트 | 10페이지 | 1,000원 | 등록일 2005.06.01
  • 한글파일 서강대학교 디지털논리회로실험 - 실험 5. Arithmetic comparator, Adder and ALU 결과 보고서
    실험 결과는 [표 2]와 같다. 4-C) 3-bit ripple-carry adder를 VHDL로 구현 VHDL코드 및 시뮬레이션 결과는 다음과 같다. ... A=011일 때 B값의 변화에 따른 실험 결과는 [표 1]과 같다. 4-B) 1-bit full-adder를 VHDL로 구현 VHDL코드 및 시뮬레이션 결과는 다음과 같다. ... Arithmetic comparator, Adder and ALU 1.
    리포트 | 8페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 파일확장자 임베디드 IoT 응용실험 - VHDL을 이용한 8-bit ALU
    과제명VHDL을 이용한 8-bit ALU 설계 및 검증과제 목적1. VHDL을 사용하여 논리회로를 기술한다.2. Vivado 환경에서 작업을 한다.3. ... Xilinx Artix-7 FPGA에 porting 한다.4. Simulation 되는 VHDL source code를 제출한다.5. ... 원리 파악, coding source 구상 및 검색, 계획 보고서 제출2주차 : 8-bit ALU - VHDL로 코딩 및 Xilinx FPGA tool VIVADO로 임시 시뮬레이션
    리포트 | 9페이지 | 3,000원 | 등록일 2022.04.14
  • 파일확장자 A+학점인증 디지털시스템설계 과제2 보고서 Combinational Logics(코드, 설명 포함)
    Write a VHDL programs of 4-input, 4-input multiplexer and 4-output, 4-bit demultiplexer.3. ... Write a structural VHDL program of the blackbox.4. ... Write a VHDL program of 74X381.2.
    리포트 | 8페이지 | 3,000원 | 등록일 2021.04.07
  • 한글파일 논리회로실험 비교기와 MUX, ALU 결과보고서
    비교기와 MUX, ALU 1. 실험 목표 VHDL의 Subprogram으로서 함수와 프로시저를 사용하여 예비보고서에서 배운 4비트 ALU를 작성한다. ... 실험 결과 - 실험 1. 4가지 기능을 가진 ALU를 Function과 procedure를 사용하여 설계하시오. 1) 논리기호와 진리표 ALU의 논리기호 ALU의 진리표S1 S0 논리식 ... 입력 값과 출력 값의 비트를 맞추기 위해서 function과 procedure 내에서 계산할 때 입력 값의 비트를 추가하였다.
    리포트 | 5페이지 | 1,500원 | 등록일 2021.10.01
  • 워드파일 ALU 8bit 설계 베릴로그
    양수는 sign bit을 0으로 두고 평범한 이진수를 사용했으며 음수는 sign bit을 1로 두고 2의보수 형식으로 취함. mode == 2 일 때 signed 8비트로 표현할 수 ... 그림 ㄴ. 8bit ALU 블록 다이어그램 및 mode값 수행 list 테스트밴치 소스코드 //------------------------------------------------ ... : myand.v // Generated : Thu May 10 14:18:44 2018 // From : interface description file // By : Itf2Vhdl
    리포트 | 36페이지 | 2,500원 | 등록일 2021.04.09
  • 워드파일 2023상반기 DN솔루션즈 최종합격 자소서(+면접후기)
    하드웨어 설계 시 일어날 수 있는 timing 이슈에 대한 문제해결 역량을 길렀습니다. 2) 디지털 회로실험 A0 설계도에 따라 Verilog를 이용해 4비트 계산기를 설계하고 검증했습니다 ... 지원 직무와 관련하여 본인이 지금까지 준비한 사항과 보유하고 있는 경험을 기술하세요. 1) 디지털 시스템 설계 A+ VHDL을 이용해 디지털시계 entity와 내부 아키텍처를 설계했습니다 ... 하루 4시간가량 인터넷 강의와 서적을 통해 학습하고, 50개 이상의 예시 코드를 작성하며 알고리즘을 익혔습니다.
    자기소개서 | 4페이지 | 5,000원 | 등록일 2023.07.12 | 수정일 2023.08.26
  • 워드파일 SoC 보고서 - 4.8051
    그래서 대표적인 32bit 혹은 64bit 임베디드 프로세서인 ARM Core를 사용하는 경우가 잦다. ... ROM에는 이미 작성된 hex파일을 넣어서 동작하도록 한다. 8051 VHDL코드는 그림1-D-3에서 볼 수 있듯이 다수의 VHDL코드를 연동해서 작성한 것으로 상위 계층과 하위계층으로 ... 연산장치인 ALU가 있고 제어를 위한 CONTROL_UNIT가 있다. 그 외 메모리를 제어하는 모듈이 존재한다.
    리포트 | 30페이지 | 2,500원 | 등록일 2021.09.23
  • 워드파일 23년 상반기 한화파워시스템-전기제어 합격자소서
    (How(요가링 시범) Result(참여율 높임)) 2) 디지털 회로실험 A0 설계도에 따라 Verilog를 이용해 4비트 계산기를 설계하고 검증했습니다. ... 구체적인 사례와 경험을 들어 기술하여 주십시오. 1) 디지털 시스템 설계 A+ VHDL을 이용해 디지털시계 entity와 내부 아키텍처를 설계했습니다. ... Full adder, Register, ALU 등의 단위블록을 조합하는 과정에서 데이터 흐름에 대한 이해를 높였습니다. 3) 아날로그 회로실험 A+ R, L, C 수동소자 및 MOSFET
    자기소개서 | 4페이지 | 3,000원 | 등록일 2023.07.12
  • 한글파일 VHDL_2_MUX,DEMUX,비교기,ALU,Hamming code
    쓰기 위한 라이브러리 포함 4~7) 메시지 입력 4bit, 출력은 패리티 비트를 포함해야 하므로 7bit로 선언 11) 패리티 비트를 저장한기 위한 용도의 signal 선언 15~ ... 출력은 5bit이므로 4bit 연산결과에 추가로 1bit를 더해줘야 한다. 그래서 맨 앞에 ‘0’을 추가했다. ... 실습제목: Simple ALU 1.
    리포트 | 35페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.04.04
  • 워드파일 2023상반기 LG전자 합격 자소서
    이는 회로 검증 시 나타나는 각종 이슈를 찾아 해결하는 엔지니어 업무에 꼭 필요하다고 생각합니다. 3) 디지털 회로실험 A0 설계도에 따라 Verilog를 이용해 4비트 계산기를 설계하고 ... Full adder, Register, ALU 등의 단위블록을 조합하는 과정에서 데이터 흐름에 대한 이해를 높였습니다. ... 이는 소자에 대한 이해를 바탕으로 가전제품의 소모전력을 고려해 설계하는 업무와 관련된다고 생각합니다. 2) 디지털 시스템 설계 A+ VHDL을 이용해 디지털 시계 entity와 내부
    자기소개서 | 4페이지 | 3,000원 | 등록일 2023.07.12
  • 한글파일 VHDL을 이용한 산술연산회로설계
    VHDL을 이용한 산술연산회로설계 B반 5조 2009312075 차승현 2013. 04. 16 Introduction 6주차 실습이었던 산술연산회로설계는 Booth곱셈기와 Alu로 ... Carry는 없이 Variable tmp_out을 8비트 지정해 주었다. ■ 로 한번 더 구분 S(5) = 1 일 때, S(4) ~ S(3) 값으로 한번 더 구분 지어준 모습이다. ... ALU는 위의 표와 같이 6비트의 숫자의 값에 따라 덧셈, 뺄셈, 증가, 감소, Shift의 연산을 실행한다.
    리포트 | 25페이지 | 2,000원 | 등록일 2014.06.10 | 수정일 2022.11.07
  • 한글파일 서강대학교 디지털논리회로실험 5주차결과
    간단한 숫자를 A와 B에 assign한 후, output값을 확인하여 위의 동작표대로 ALU 소자의 function을 선택할 수 있음을 확인하였다. 4. ... 그 동작표는 다음과 같다. ▲ 74x181 Connection Diagram▲ 74x181 동작 Input 4bit에 따라 Logic이 달라지는 것을 알 수 있다. ... 다음은 Gate level로 설계한 3-bit ripple carry adder이다. ▲ 3-bit ripple carry adder 또한 같은 Logic을 VHDL code로 작성하면
    리포트 | 9페이지 | 2,000원 | 등록일 2014.01.02
  • 한글파일 VHDL을 이용한 ALU 설계
    일반적으로 ALU는 입력된 연산자와, 현재 더해지고 있는 연산자, 누산기에 저장된 결과, 그리고 시프트된 결과들을 저장하기 위한 공간을 가지고 있으며, ALU내의 비트의 흐름과, 그 ... ALU Function Table S4 S3 S2 S1 S0 Cin Operation Function Implementation block 0 0 0 0 0 0 0 0 0 0 0 0 ... 대한 VHDL Code와 시뮬레이션 결과입니다.
    리포트 | 9페이지 | 2,000원 | 등록일 2010.10.14
  • 한글파일 서강대학교 디지털논리회로실험 8주차결과
    Multiplier의 마지막 비트에 가상의 0을 붙여 준 후, 1bit 씩 Overlap하여 3bit를 선택한다. ... 따라서 아래와 같은 구조로 4bit 곱셈을 수행할 수 있다. ▲ Data Unit comprised of an ALU and a shift register ③ 테스트 및 시뮬레이션 ... 실험 목적 ● 4 bit 곱셈기를 설계한다. ● 설계한 곱셈기를 구현하여 동작을 확인한다. 3.
    리포트 | 5페이지 | 2,000원 | 등록일 2014.01.02
  • 워드파일 논리회로설계실험 ALUkit (결과보고서)
    이렇게 하여 위의 function에 4bit값을 집어넣어 segment로 출력을 하게 되는데 실제 출력값은 8비트가 되지만 이것을 2자리로 나누어 출력을 할 것이기 때문에 4비트씩 ... Design -Describe what your circuit does 4bit state를 통하여 어떤 연산을 할 지를 선택한다. 이는 4개의 스위치를 통하여 선택한다. ... 그리고 계산에는 8비트 입력이 사용되는데 이는 미리 저장돼있는 a, b쌍을 버튼을 통하여 선택하여 진행한다. state가 1111일 때만 입력을 넣을 수 있다.
    리포트 | 20페이지 | 1,000원 | 등록일 2015.08.25
  • 한글파일 결과보고서 #5
    뺄셈에서 음수 값을 취할 수도 있기 때문에 signed를 사용했고, 4bit로 들어오는 A와 B의 가산의 경우 자리올림이 있을 수 있기 때문에 출력값 Y는 5bit를 사용하였다. ... 1 1 Y = "-----" · 2) 소스 코드 3) 테스트 벤치 코드 4) Wave Form 5) 결과 분석 - 2bit로 들어오는 Select값에 따라서 4가지의 논리식을 수행하는 ... 이것들을 VHDL의 Subprogram 문법인 function과 procedure를 이용해 설계할 수 있고, Xilinx ISE를 통해 실제 동작을 확인한다. 2.
    리포트 | 7페이지 | 2,000원 | 등록일 2016.05.13 | 수정일 2021.07.28
  • 한글파일 05-논리회로설계실험-예비보고서
    실험 목표 2 bit의 코드를 받아서 N bit의 2진 코드로 해독하는 디코더와 N bit의 2진 코드를 받아 2 bit로 부호화 하는 회로를 VHDL을 이용하여 설계할 수 있다. ... 다음의 비교는 필요없다. - MSB : Most Significant Bit (최상위 비트), LSB : Least Significant Bit (최하위 비트) - -X_out = ... (DEMUX) - MUX의 반대개념의 회로이다. - 하나의 입력을 받아 여러 개의 출력포트 중 하나를 선택하여 출력해주는 회로이다. - (4) ALU - 산술논리연산장치(ALU :
    리포트 | 9페이지 | 2,000원 | 등록일 2016.05.13 | 수정일 2021.07.28
  • 한글파일 VHDL을 이용한 MU0 구현 (논문양식)
    IRout은 출력으로 나오는 16비트이며 Opcode는 IRout의 상위 4비트에 해당된다. (4) PC ; Program Counter PC는 다음에 수행할 명령어의 주소를 저장하고 ... 다시 말하면 현재 설계하지 않고, 주어진 MEM을 사용한다. (2) ALU; Arithmetic logic unit ALU는 직접적인 연산을 처리하는 부분으로 ALUfs ; 2비트의 ... ACCce는 ACC를 제어하는 signal로써 ACC에 새로운 값을 쓰기 위하여 이 비트가 High상태가 되어야 한다. ACCin은 입력 16bit이다.
    리포트 | 10페이지 | 3,500원 | 등록일 2010.12.27
  • 워드파일 논리회로설계실험 ALU & multiplier (결과보고서)
    설계할 곱셈기가 수행할 수 있는 범위는 4bit까지이고 결과로 나올 비트수는 8bit가 된다. ... 곱셈연산을 해줄 4자리수 2진수를 위하여 4bit의 입력값 x, y을 선언한 후 곱셈의 결과값으로 출력해 줄 bit수인 p를 8bit의 크기로 선언을 한다. ... [네이버 지식백과] ALU [Arithmetic and Logic Unit] (용어해설) 이번 실험에서는 산술연산, 논리연산, 시프트연산을 수행하는 8비트 ALU를 설계하고 TESTBENCH를
    리포트 | 19페이지 | 1,000원 | 등록일 2015.08.25
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업