• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(23)
  • 리포트(21)
  • 방송통신대(2)

"16bit alu U0,U1" 검색결과 1-20 / 23건

  • 워드파일 VLSI 프로젝트 보고서
    [Layout] Demux와 반대로 동작하는 Mux는 Controller와 ALU에서 4 bit 신호의 input을 받아 1bit의 DIR_SRAM의 값에 따라 4비트 신호를 SRAM의 ... 599ns1.8V + 600ns1.8V V3 bit GND dc 1.2 V4 bit_b GND dc 0.4 M1000 a_n16_n65# sense_clk sense w_n27_n66 ... ALU는 정수형 산술 논리 장치로 수학연산, 논리연산, 쉬프트 등의 수학적 연산을 담당한다. 논리 연산은 비트별로 AND, OR, XOR, NOT 등의 논리연산을 실행한다.
    리포트 | 50페이지 | 3,000원 | 등록일 2020.03.12 | 수정일 2020.03.16
  • 워드파일 (A+ 컴퓨터의이해) 마이크로프로세서 microprocessor에 대하여 설명하라.
    Intel 8086 및 Motorola 68000과 같은 16비트 마이크로프로세서가 도입되었습니다. ... 본론 PAGEREF _arolcxe0i15c \h 2 Hyperlink \l "_q7vvuuc0kfov" 1. ... 마이크로프로세서의 구성 요소 PAGEREF _a17zb6u7l4b5 \h 5 Hyperlink \l "_ofjt1sr8i8v4" 5.
    방송통신대 | 12페이지 | 5,000원 | 등록일 2023.01.23
  • 한글파일 (컴퓨터의이해) 교재 1.7.1절 개인용 컴퓨터 (15,16 페이지)을 읽고 개인용 컴퓨터를 하나 선정한다
    아래의 문제에 대하여 A4 용지 2페이지 이내로 서술하라. (15점) (가) 교재 1.7.1절 개인용 컴퓨터 (15,16 페이지)을 읽고 개인용 컴퓨터를 하나 선정한다. ... 오류 정정 기능으로는 0~8단계가 있고, 최대 80% 정도에서 판독이 가능하다고 한다. ... LG 울트라기어 15U780-PA76K의 CPU는 8세대 인텔 코어 I7-8550U 프로세서로 비교적 최신의 기종이라고 한다. CPU의 클럭은 1.80GHZ였다.
    방송통신대 | 7페이지 | 3,000원 | 등록일 2020.01.20
  • 워드파일 Thumb Instruction / Power Saving in Cortex M0 / Interrupt vector 구조 / Interrupt priority / SPI interface / UART interface 조사
    Thumb-2는 Thumb-1 명령어 집합의 성능측면에서 개선을 위해 설계되었으며 16-bits 명령어 집합인 Thumb-1와 32-bits의 Thumb으로 이루어졌다. 32-bits ... 이때 1-bit의 레지스터가 사용되며 이 레지스터의 값이 0인 경우 프로세서가 명령 실행을 중지하고 절전모드에 들어가며, 1인 경우 레지스터를 0으로 바꾸기만 하고 계속해서 명령을 ... Thumb 명령어도 발전단계에 따라 Thumb-1, Thumb-2 Instruction Set을 가지는데, Thumb-1은 1995년 ARM7TBMI 프로세서에 처음 사용된 16-bits
    리포트 | 12페이지 | 2,000원 | 등록일 2020.04.15
  • 한글파일 부산대 어드벤처디자인 2주차 예비보고서(마이크로프로세서, 마이크로컨트롤러, Mega2560, 디지털신호, 아날로그, 플로팅현상, 채터링현상)
    아날로그 신호 디지털 신호 대표 사인파로 표시 구형파로 표시 기술 진폭, 주기 또는 주파수 및 위상으로 설명된다 비트 전송률 및 비트 간격으로 설명된다 범위 고정 된 범위가 없다 0과 ... 또한, 디지털 신호는 이진수(0,1)로 저장되지만 아날로그 신호는 파형 형태로 저장됩니다. ... MHz 아날로그 입력핀은 A0~A15까지 16개가 있고, 디지털 입출력핀은 54개입니다.
    리포트 | 3페이지 | 1,500원 | 등록일 2022.04.08
  • 한글파일 5주차 예비보고서- 디지털 시스템 설계 및 실험
    W1,~X[1],~X[0]); or or6(f,X[3],X[2],W1); and and15(W1,X[2],~X[1]); and and16(W2,X[1],~X[0]); and and17 ... 구현 BCD-to-7segment 디코더를 만들기 전에 지금 까지 binary ALU를 통해 나온 결과를 7 segment로 출력하기 위해서 BCD 코드로 변환이 필요하다. ... 클럭마다 8개의 신호(a~g)값을 각 자리에 맞는 값으로 바꾸면 8개의 신호만으로도 모든 숫자를 나타낼 수 있다. < SevenSeg_CTRL 사용 예제> SevenSeg_CTRL u3
    리포트 | 6페이지 | 1,000원 | 등록일 2020.07.29
  • 워드파일 마이크로프로세서
    프로세서이다. 4비트 데이터 버스와 12비트 어드레스 버스가 사용되었으며 16핀 세라믹 DIP 패키지 형태를 띠었다. ... 84%ED%8A%B8" \o "비트" 비트 단위로 정보를 주고 받을 수 있는 Hyperlink "http://ko.wikipedia.org/wiki/%EC%A7%81%EB%A0%AC ... hl=ko&sl=en&u=http://en.wikipedia.org/w/index.php%3Ftitle%3DXMEGA%26action%3Dedit%26redlink%3D1&prev=
    리포트 | 19페이지 | 1,500원 | 등록일 2010.05.03
  • 파일확장자 MIPS 32bit 인하대학교 디지털 시스템 설계 (정덕진 교수님) 수업
    Address[31:0] Address[31:0] MWritedata[31:0] MWritedata[31:0] clk clk rst rst ..FILE:MIPS_32bit/ALU.v ... Or : And ); //ALU를 통해 원하는 값을 얻기위한 MUX부분입니다. endmodule ..FILE:MIPS_32bit/ALU_Control.v //ALU의 알맞은 동작을 ... ..FILE:MIPS_32bit/.lso work ..FILE:MIPS_32bit/a.wcfg MemData[31:0] MemData[31:0] label PC[31:0] PC[31
    리포트 | 16페이지 | 5,000원 | 등록일 2012.05.05
  • 한글파일 [디지털 논리 회로 프로젝트] AMD-2901 예제 파형(wave form) 분석
    : Mnemonic DQ ADD 연산이 4비트 범위내에서 이루어질 때 어떤 현상이 발생하는지 확인하고자 선정한 테스트 싸이클이다. #2 D = 4'b0011; C0 = 1'b0; ... ADD 연산을 통해 F, F3, F30이 정의되지 않으므로 xxxx로 표현된다. #9 ALU DESTINATION : Mnemonic U ALU FUNCTION : Mnemonic ... R과 S의 연산이 정의되지 않으므로 연산 결과에 영향을 받는 변수인 Gbar, Pbar, F, F3, F30, OVR, y가 모두 xxxx가 된다. #16 ALU DESTINATION
    리포트 | 13페이지 | 2,000원 | 등록일 2013.06.20
  • 한글파일 마이크로프로세서 텀프로젝트 - 농구점수판
    인터럽트 금지 - 해당 비트를 0으로 설정한다. 단, SREG의 I비트가 1로 set 된 상태여야 한다. ... 5개 ⑦ USART0 관련 3개 ⑧ U는 INT 7~0 핀의 트리거 동작으로 인터럽트가 발생된다. ... EMISK (External Interrupt Mask Resister) INT 7~0을 개별적으로 활성화 (허용) 인터럽트 허용 - 해당 비트를 1로 설정한다.
    리포트 | 20페이지 | 2,000원 | 등록일 2015.01.27
  • 한글파일 전기전자기초실험 Arithmetic Circuit Design 결과보고서
    The time is 18.2ns. 4-bit adder/subtracter_a[15], in_b[15], carry[14]); full_adder u16 (out_sum[16], ... carry[16], in_a[16], in_b[16], carry[15]); full_adder u17 (out_sum[17], carry[17], in_a[17], in_b[17] ... 1 1 0 1 1 0 0 1 0 1 1 1 0 0 0 1 0 1 1 1 1 1 0 0 1 0 0 - The Code module ALU(en, ctrl_s, in_a, in_b,
    리포트 | 13페이지 | 1,000원 | 등록일 2009.09.08
  • 한글파일 실험 예비보고서 5주차 MSI/LSI 연산회로
    ) 16 : 1 0000 → 1 0110 (+0110) 17 : 1 0001 → 1 0111 (+0110) 18 : 1 0010 → 1 1000 (+0110) 19 : 1 0011 ... 4-bit 2진가산기 + Binary-to-BCD code converter(코드 변환기) ? 가산 결과 : 0 0000(0+0+0 =0) ~ 1 0011(9+9+1=19) ? ... A.L.U의 기능 중앙처리장치의 일부로서 컴퓨터 명령어 내에 있는 연산자들에 대해 연산과 논리동작을 담당한다.
    리포트 | 2페이지 | 1,500원 | 등록일 2008.11.08
  • 한글파일 Multi Cycle MIPS 프로세서 설계
    u17 (result[17], c[17], in_a[17], in_b[17], c[16], 1'b0, ainvert, binvert, operation); alu_unit u18 ... ], 1'b0, ainvert, binvert, operation); alu_unit u27 (result[27], 0] d16, d17, d18, d19, d20, d21, d22 ... zero 이므로 무조건 0이 나옴 reg_32bit reg1(d01, write_data, clk, out_we[1], ext_rst); reg_32bit r] inst; // control
    리포트 | 32페이지 | 3,000원 | 등록일 2006.10.29
  • 파워포인트파일 ARM 프로세서 명령어
    28 27 26 25 24 23 22 21 20 19 16 15 12 11 8 7 6 5 4 3 0 OffsetL 1 H S 1 OffsetH Rd Rn L W # U P 000 ... [y] 부호 있는 32 * 16 곱셈과 더하기 SMLALxy Rd := Rn+(Rm*Rs[y])[47:16] 64비트의 부호 있는 16 * 16 곱셈과 더하기 SMLAWy Rd := ... 레지스터와 이미디어트 상수의 사용 여 부는 명령어의 비트 [25]에 의하여 결정이 이루어 진다. · 오퍼랜드 2로 레지스터가 사용되는 경우 특징은 ALU로 들어가기 이전에 배럴 쉬프터가
    리포트 | 32페이지 | 3,000원 | 등록일 2008.10.28
  • 워드파일 Velilog이용해서 ALU설계.(쿼터스툴에서)
    = n; // from 8bit mux2to1 to 16bit mux2to1 adderk nbit_adder (AddSubR,G,H,M,carryout); defparam nbit_adder.k ... end endmodule //K-bit 2-to-1 multiplexer module mux2to1 (V,W,Sel,F); parameter k = 8; input [k-1:0] ... Kwangwoon University Project (or Lab) # 1 Report Project (or Lab) TiTle: A L U의 설계 Your Name & Student
    리포트 | 17페이지 | 1,500원 | 등록일 2008.04.09
  • 워드파일 Quartus 툴을 이용하여 Simple_CPU를 verilog로 구현
    [1:0] op_code; CONTROLLER cu( reset, clk, op_code, rd_mem,wr_mem,ir_on_adr, pc_on_adr, ld_ir, ld_ac, ... = 'CPU:cpu|DataPath:dp|adr_bus[4]~502' Info: 3: + IC(0.417 ns) + CELL(0.357 ns) = 1.282 ns; Loc. = LAB_X19 ... ); input reset, clk; input [1:0] op_code; output rd_mem, wr_mem, ir_on_adr, pc_on_adr, ld_ir, ld_ac,
    리포트 | 25페이지 | 9,000원 | 등록일 2007.12.09
  • 한글파일 [공학]유니코드 조사
    상위대행코드 1개와 하위대행코드 1개의 조합으로, 즉, 16비트 단위 2개로 보충 언어판의 1백만 여개의 코드를 지정할 수 있다. ... 보충 언어판은 0x10000부터 시작하므로 마지막에 이 값을alue는 U+10000~ U+10FFFF까지 1048576개의 값을 가질 수 있게 된다. ... 이 인코딩의 기본 단위는 16비트, 즉 2바이트다.
    리포트 | 5페이지 | 1,000원 | 등록일 2007.06.29
  • 파워포인트파일 [회로설계]Verilog를 이용한 간단한 ALU 구현
    1'b0; end ..PAGE:16 소스(3) //a에서 b를 감하는 연산 a_sub_b : alu_out = a - b; //a와 b를 더하고 케리입력이 있으면 //케리도 더한다 ... alu_out[7:0] = a; alu_out[8] = 1'b0; end //a에 1을 더하는 연산 //a가 255(모든 비트가 1일 경우)인 경우는 //출력의 9번째 비트가 carry_out으로 ... ALU의 8가지 동작을 제어하는 제어신호 입력이 있다.(3비트) 케리 입력이 있다.(1비트) ..PAGE:3 요구 사항(2) 피연산자 input [7:0] a, b; ALU 동작 제어
    리포트 | 31페이지 | 1,000원 | 등록일 2006.04.23
  • 한글파일 컴퓨터그래픽 정리분석
    디지털 화상이란 화상정보를 전기적 신호로 기록해 놓은 것으로 화상을 아주 작은 크기로 분할하여 그 부분에 대한 색상정보를 0 또는 1로 표시한 것을 말한다. 0과 1을 8개로 묶어 ... , U-matic, Vetacam등이 있으므로 역시 용도에 맞추어 세팅(setting)해야 한다. ... 물리적인 구성물을 의미하는데 크게 나누어 입력장치, 출력장치, 기억장치, 중앙처리장치(CPU:Central Processing Unit),제어장치(Control Unit), 연산장치(ALU
    리포트 | 11페이지 | 2,000원 | 등록일 2007.07.12
  • 한글파일 [VHDL] VHDL(mu0)
    (15 downto 0); type table4096x16 is array (0 to 4095) of data_16bit; signal ram1 : table4096x16; begin ... : alu port map(rst=>rst,alufs=>alufs_temp,a=>a_temp,b=>b_temp,sum=>sumbtype data_16bit is std_logic_vector ... : std_logic_vector(15 downto 0); signal pc_out_temp : std_logic_vector(15 downto 0); begin u0 : cu port
    리포트 | 20페이지 | 2,000원 | 등록일 2004.06.03
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업