• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(572)
  • 리포트(535)
  • 방송통신대(16)
  • 시험자료(11)
  • 자기소개서(9)
  • 논문(1)

"자판기구현" 검색결과 1-20 / 572건

  • 파일확장자 C를 이용한 자판기 프로그램 구현.컴퓨터프로그래밍 텀프로젝트 제출용.
    서 론 저는 처음 자판기 프로그램을 생각 하고 있었습니다.이 프로그램을 짜기 위해 내가 연구한 것과 생각한 구상도, 그 구상도를 구현한 것.동작과 결과와 그 동작과 결과가 의도 ... [관리자를 위한 설계]☞매출보기☞자판기 초기화(돈만 초기화 한다. 재고는 자판기 안에 남아 있기에.) ☞수정하기(관리자는 제품의 이름과 제품의 재고, 가격을 수정 할 수 있게.) ... 프로그램 구현 실제 프로그램을 구현한 내용과 기술들을 설명한다.
    리포트 | 15페이지 | 1,000원 | 등록일 2021.03.30
  • 파일확장자 자바의 GUI(SWING)으로 구현한 라면자판기 프로그램
    자판기 상단 부분에 ‘라면 자판기’타이틀 이미지를 부착하고, 메뉴 선택 버튼을 일반 텍스트 버튼이 아닌 라면 사진 버튼으로 구성 하였다. ... 그리고 원하는 라면을 선택하면 자판기 하단부에 음식(완성본)이 나오는 일반 자판기들과는 달리 이용자로 하여금 보다 높은 시각적 효과를 주고자 라면의 완성본이 메뉴 선택과 함께 새로운 ... 전체적으로 본 자판기 프로젝트는 이용자와 관리자 모두를 염두 하여 제작하였다.
    리포트 | 5,000원 | 등록일 2018.07.01 | 수정일 2021.04.01
  • 파일확장자 자료구조를 이용한 자판기 프로그램 JAVA GUI로 구현
    자료구조 실습을 통해 만든 프로그램으로 JAVA GUI를통해구현했고 4개의 자판기메뉴 를 각각의 큐로 구현하여 그곳에 10개의 공간을 배치하여 사용자가 직접 음료를 충전 합니다
    리포트 | 2,500원 | 등록일 2014.11.29
  • 워드파일 디지털 논리회로 자판구현
    Question #3. 1. Introduction In this question, I saperated the states according to the amount of money accumulated until now. That means, S0 equals to..
    리포트 | 6페이지 | 1,000원 | 등록일 2011.06.28
  • 한글파일 자판기 시스템의 구현
    學士學位 論文 자판기 시스템의 구현 The realization of the automatic vending machine system 電氣工學科 목 차 國文抄錄ⅴ 제 1 장 서 론 ... 여러 가지 자판기 종류 중 이번에 다루고자 하는 자판기는 위치를 제어하여 원하는 물품을 받을 수 있는 시스템을 만들고자 한다. ... 그러나 여러 발명품에 대해 아무런 생각 없이 이용만 하는 경우가 많은데, 그 중 자판기가 그러한 예이다. 우리 주변에서 자판기는 흔히 볼 수 있다.
    리포트 | 22페이지 | 2,500원 | 등록일 2008.12.11
  • 파일확장자 자바로 구현자판기 프로그램 레포트
    자바로 구현자판기 프로그램 레포트
    리포트 | 2페이지 | 1,000원 | 등록일 2010.12.24
  • 파일확장자 protege를 이용한 semantic 무인자판구현
    sematic web 개발툴인 protege를 이용하여 무인자판기 시스템을 구현하였습니다.
    리포트 | 13페이지 | 1,500원 | 등록일 2010.12.07
  • 워드파일 Register Transfer Level (RTL ) 기능을 이용한 Verilog 자판구현 (컴퓨터 아키텍쳐 실습)
    (자판기 내에 있는 돈의 종류와 수 저장, 거스름돈 없음 표시) test bench 작성시 최대한 실제로 자판기를 사용하는 예를 고려하여 구현해야 하며, use-case에서 제시한 ... 만약 이 자판기를 디지털 설계 과목처럼 Finite State Machine으로 구현하여야 했다면 정말 학기말 프로젝트 급의 난이도가 되었을 것이다. ... 자판기는 거스름돈을 반환하고 끝낸다. (2) Extra Requirement 아래의 요구사항을 만족하도록 use-case를 보강하여 구현하면 extra credit을 부여한다.
    리포트 | 3페이지 | 1,000원 | 등록일 2013.03.08
  • 파워포인트파일 [디지털회로]VHDL을 통한 자판구현 PPT
    VHDL을 통한 자판구현 그림 1 은 자판기(vending machine)의 블록도이다. ... 자판기(vending machine) 1 : 100원짜리 동전 5 : 500원짜리 동전 4 : reset C : 반환 D : 커피 / E : 우유 F : 주스 그림2 그림 3 는 자판기 ... 자판기(vending machine)는 6개의 키패드 스위치를 가지며 해당 키패드는 그림 2 에서 확인 할 수 있다. key vector는 반환, 주스, 우유, 커피, 500원, 100원짜리
    리포트 | 18페이지 | 3,000원 | 등록일 2008.12.15
  • 파워포인트파일 [논리회로]자판구현
    Project 커피 재료 조절 자판기 (“ 내 커피는 내 맘대로”) Team Members System Diagram Vending Machine controller END sw Coin ... State Machine Design - A Vending Machine [인터비전]디지털 시스템 [미래컴] VHDL 을 이용한 디지털 설계 결론 및 후기 우리가 손쉽게 사용하는 자판기에도
    리포트 | 19페이지 | 3,500원 | 등록일 2005.04.18 | 수정일 2017.10.24
  • 한글파일 [JAVA소스]JAVA로 구현한 커피자판
    JAVA로 만든 음료수 자판기 1.개요 :우리가 실제로 자판기에서 돈을 넣고 음료수를 사먹는 행위를 Java로 구현해 보았습니다. ... 이를 위해서 Machine(자판기) 클래스와 MachineTest(자판기테스트) 클래스를 만들고 각 클래스에 멤버변수와 생성자, 메소드를 만들었습니다. 2.동작순서: 1.자판기내 음료수의 ... 자판기에 투입한 돈(money):상수로 정의. 2)생성자 public Machine(): 현재 자판기에 들어있는 음료수의 종류와 가격을 나타낸다. 3)기능(메소드) int money
    리포트 | 4페이지 | 1,000원 | 등록일 2005.12.08
  • 한글파일 [자바] 자판구현소스
    adminSugar, adminRedtea; Connection con; Statement stmt; ResultSet rs; public VendingMachine(){ super("자판
    리포트 | 13페이지 | 10,000원 | 등록일 2003.05.10
  • 파일확장자 verilog 베릴로그 booth multiplier와 CLA로 구현자판기 (보고서,발표자료 포함)DE2-70보드 다운가능 v file 포함
    이에 같은 기능이지만 다른 구조를 갖는 adder, multiplier를 구현 후 비교해보고 나아가 이들을 이용한 실용적인 작품을 만들고자 자판기를 선택하게 되었습니다.(3) 구현내용 ... 프로젝트 소개 (1) 프로젝트 목표 수업시간을 통해 배운 카운터, 가산기, 감산기, Multiplier,와 Sequential 로직을 통합적으로 이용하여 verilog ... 개수를 선택하는 switch는 기본값을 1로 하여 1개의 상품을 선택할 때에도 개수를 넣어주는 번거로움을 없앴습니다.12bit 가산기carry Look ahead 12bit 감산기carry
    리포트 | 21페이지 | 4,000원 | 등록일 2008.12.29
  • 파일확장자 자바 GUI(Swing) 커피 자판기 프로그램 소스코드
    자바 GUI를 이용하여 커피 자판기를 구현하였습니다. 본 프로그램은 사용자 모드와 관리자 모드로 나누어집니다.
    리포트 | 4,500원 | 등록일 2020.03.08
  • 한글파일 논리회로설계 FSM 설계
    무어 머신을 이용한 커피 자판기를 설계하시오. (1) 무어머신을 이용한 커피 자판기 1) 무어머신 다이어그램 & 상태그래프 무어머신 다이어그램 무어머신 상태그래프 2) 소스코드 무어머신설계 ... 시스템에서 생기는 오류들인 glitch, chattering과 해결법인 debouncing에 대해 학습하여 동작원리와 각각의 특성을 알고, 이를 바탕으로 무어머신을 사용한 커피 자판기를 ... 이렇게 FSM의 설계를 통하여 이제까지와 다르게 상태가 계속 변화하는 회로에 대해 알고 그 방법을 사용해 자판기를 설계함으로써, 디지털로 나타내는 모든 회로들의 콘트롤러 회로에 쓰이는
    리포트 | 5페이지 | 1,500원 | 등록일 2021.10.01
  • 한글파일 (A+자료) 마이크로프로세서 실험 팀프로젝트 ATmega128을 이용한 운세 자판
    방식의 자판기를 구현할 수 있을 것이라고 생각하게 되었다. ... -팀원 및 역할분담 주제 운세 자판기 팀원 역할 분담 회로 설계 및 구현 회로 설계 및 구현 동기 및 목적 수업시간에 배운 내용인 인터럽트, PWM을 이용한 모터 제어등을 활용하는 ... 운세 자판기를 선택하게 되었다.
    리포트 | 27페이지 | 5,000원 | 등록일 2023.01.16 | 수정일 2023.03.07
  • 파일확장자 A+ 디지털 시스템 실험 최종 프로젝트 자판기 <vending machine>, PPT포함
    프로젝트 설명 및 구현 방법 1) 모델, flow Chart, state diagram 자판기 프로젝트는 실제 자판기처럼 동작하게 하였다. ... 모델과 Flow Chart는 [그림 1] 과 같다.Verilog로 자판기를 구현하기 전에 먼저 State Diagram을 그리고 구현하고자 하는 동작을 확인해 보는 과정을 거쳤다. ... 실험제목최종 프로젝트 – Vending Machine실험목표① 자판기를 설계한다.② FPGA 보드에 올려 동작을 검증한다.실험결과1.
    리포트 | 9페이지 | 10,000원 | 등록일 2020.01.07 | 수정일 2020.12.09
  • 파일확장자 자판기 베릴로그코드 테스트벤치(testbench) 포함 / Vending machine / Verilog code / 베릴로그코드 설명 결과보고서 포함 / ASIC 설계 / 논리회로 / 디지털 설계
    가격이 1000원 및 1500원인 콜라를 판매하는 자판기를 각각 Verilog code로 구현하였습니다. ... 코드파일(.v)과 머신에 대한 설명 및 시뮬레이션 결과에 대한 파일(.docx)이 포함되어 있습니다. 1500원 콜라 자판기의 경우 모델심 시뮬레이션에 필요한 testbench 코드
    리포트 | 3페이지 | 2,500원 | 등록일 2020.10.17 | 수정일 2020.10.22
  • 파일확장자 통신공학실습 과제05
    State Machine 구조를 이용하여 자판기 프로그램 구현.
    리포트 | 3페이지 | 2,000원 | 등록일 2022.01.11
  • 워드파일 삼성전자 DX, MX사업부 합격 자소서
    학교에서 진행했던 프로젝트 중 무인 자판기 오류를 검출해내고 해결하는 프로젝트가 있었습니다. ... 자판기의 오류를 극복하면서 깨달은 바는 개발의 목적은 최종적으로 인류의 편의를 위한 것으로 생각했습니다. ... 알고리즘이 구현된 오픈소스를 찾아서 적용해본 결과 input 값이나 구조상의 차이로 인해 적용해도 제대로 구현되지 않는 문제가 빈번히 발생했습니다.
    자기소개서 | 4페이지 | 3,000원 | 등록일 2023.07.08
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업