• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(246)
  • 리포트(213)
  • 시험자료(19)
  • 방송통신대(9)
  • 논문(3)
  • 자기소개서(2)

"언어학 syntax" 검색결과 1-20 / 246건

  • 한글파일 [방송통신대학교] 컴파일러구성 출석수업대체과제
    두 번째로 구문분석(syntax analysis)단계이다. ... 이러한 일을 담당하는 것을 구문분석기(syntax analyzer) 또는 파서(parser)라고 한다. ... 중간코드 생성단계는 최적화를 위한 중간단계로, 구문트리를 이용하여 생성된 코드가 합쳐질 때마다 구문지시적 변환(syntax-directed translation)이 이루어지는데 이때
    방송통신대 | 3페이지 | 4,000원 | 등록일 2022.10.03
  • 파일확장자 인하대학교 언어의 이해 중간고사 만점 정리본입니다.
    Aitchison circle로 언어의 범위를 설명할 수 있다. phonetics(음성학) > phonology(음운론) > morphology(어형론) > syntax(통사론) > ... semantics(의미론) > pragmatics (화용론) (social linguistics) > appliedlinguistics ( neuro lingu, psycho lingu ... (face to face, all manner of electronic media)언어의 의미: 촘스키는 “언어란 인간에게만 있고, 인간과 동물을 구별한다” 주장.
    시험자료 | 14페이지 | 3,500원 | 등록일 2024.01.01
  • 워드파일 컴파일러구성_중간출석과제
    어휘분석(lexical analysis) 단계 원시 프로그램을 읽어 프로그램 문장을 구성하고 있는 최소 단위인 어휘들을 떼어 내어 이 어휘들이 올바른지 분석 구문분석(syntax analysis ... 출석수업 과제물(평가결과물) 표지(온라인제출용) 교과목명 : 컴파일러 구성 학 번 : 성 명 : 강 의 실 : 서울지역대학 연 락 처 : ________________________ ... Context-sensitive grammar 라고 한다. Type 2 문장을 표현하는 데 적합하여 구문분석에서 사용되는 문법이다.
    방송통신대 | 5페이지 | 3,000원 | 등록일 2022.11.01
  • 한글파일 George Yule, The Study of Language 7th Edition, 경기대학교 영어영문학과 영어학개론 8,9,10,12,17강 번역본
    우리가 한 문장 안에서의 구조와, 구성요소들의 순서(ordering)에 대해 집중한다면, 우린 언어의 통사론(syntax)을 공부하고 있는 것이다. syntax라는 단어는 원래 그리스어에서 ... 영어학개론 8주차 Syntax (구문론, 통사론) Time flies like an arrow; fruit flies like a banana. ... )이 적용되어 A와 B언어에서의 첫 소리 [k] sound는 C언어에서의 []sound보다 더 오래된 것이라고 주장할 수 있다.
    리포트 | 50페이지 | 19,900원 | 등록일 2021.12.28 | 수정일 2022.05.20
  • 한글파일 형태론과 통사론의 차이에 대하여 기술하시오.
    syntax’라는 용어는 그리스어 ‘sy?taxis’에서 유래했다. ... 언어학의 하위 분야에는 음성학, 음운론, 통사론, 의미론, 화용론이 있다. ... 서론 언어학 (Linguistics)이란 인간언어에 대한 과학적 연구이며 인간 언어를 연구의 대상으로 하는 과학적인 학문을 말한다.
    리포트 | 3페이지 | 2,000원 | 등록일 2024.01.07 | 수정일 2024.01.15
  • 한글파일 의사소통장애의 정의
    언어학적 형식을 다루는 영역으로 음운론(phonology), 형태를(morphology), 구문론(syntax) 등이 포함된다. ... 이러한 내용은 의미론(semantics)에서 다루어진다. 언어의 사용(use)은 사회적 환경 속에서 언어를 사용할 때 필요한 규칙이다. ... 구어(speech) 혹은 말이란 언어 코드를 사용하는 구두 의사소통의 매개체로서, 이것을 통해 자신의 생각과 감정 등을 표현할 수 있고, 동일한 언어 코드를 사용하는 타인의 생각과
    리포트 | 2페이지 | 2,000원 | 등록일 2020.10.02
  • 한글파일 190605 신경언어학
    matter fiber tracts in natives of different languages -German: syntax, case marker 存(sentence structure과 ... -초기: completely open -3세까지: acquisition of syntax -사춘기까지: acquisition of semantics -사춘기는 인간의 뇌 발달이 상대적으로 ... -한 시스템과 신경생물학적 근거는 뉴런 시스템이 숙달됨에 따라 반응하며, 이는 특정 인지 영역과 관계가 있다고 볼 수 있다.
    시험자료 | 2페이지 | 2,000원 | 등록일 2019.06.30
  • 한글파일 방송대 컴퓨터과학과 컴파일러구성 출석대체
    이 파스트리는 기억공간을 낭비하고 컴파일러의 속도를 떨어뜨리므로 꼭 필요한 정보만으로 구성된 트리를 만들 수 있는데, 이것을 구문트리(syntax tree)라고 한다. (3) 의미분석 ... 2020학년도 2학기 출석수업대체과제물 교과목명 : 컴파일러구성 학 번 : 성 명 : 연 락 처 : _____________________________________________ ... 컴파일러의 논리적 구조 6단계 (1) 어휘분석(Lexical Analysis) 원시 프로그램을 읽어 들여 토큰이라는 의미있는 문법적 단위로 분리하여 출력하는 기능 토큰은 프로그래밍 언어
    방송통신대 | 3페이지 | 5,000원 | 등록일 2021.01.02
  • 한글파일 단순언어장애를 정의하고, 말 늦은 아동과 구별되는 특징을 1가지 이상 제시하시오.
    관한 규칙인 의미론(semantics), 그리고 소리의 규칙에 관한 음성학(phonology)에 의해 평가될 수 있다. ... (pragmatics), 문장에서 단어가 문법적으로 원활히 사용되는지에 관한 통사론(syntax), 단어가 어떻게 구성되는지에 관한 형태론(morphology), 단어의 조합과 의미에 ... 하지만 실제로는 납 등에의 노툴, 빈혈과 같은 생물학적 요소와 결합되어 있는 경우가 많다. 일반적인 보통 가정에서 언어적 자극이 부족한 경우는 흔하지 않다.
    리포트 | 3페이지 | 2,700원 | 등록일 2022.01.21
  • 한글파일 유아기 사회인지발달과 언어발달의 특징
    그러나 비판자들은 유아들이 이전에 전혀 들어본 적이 없는 독창적인 단어구조(syntax)를 만들어 낸다는 점을 지적한다. ... 유아들이 어떻게 언어를 획득하는가에 대해 언어학자들은 다양한 견해를 지니고 있다. ... 그러나 유아들이 어떻게 언어를 학습하는가에 대해 언어학자들이 아직은 구체적인 해답을 제공하지 못하고 있는 듯 하다.
    리포트 | 3페이지 | 1,500원 | 등록일 2022.12.27
  • 파워포인트파일 언어발달
    The acquisition of syntax. In C. N. Cofer B. S. ... 언어심리학 . 이광오 , 박현수 역 . 서울 : 박학사 . Chall , J. S. (1979). ... 생물학적 영향 2) 생물학적 기반 Chomsky 의 연구 (1957) (1) 인간이 특정시기에 특정 방법으로 언어를 배우게끔 생물학적으로 구성된다 . (2) 아이들은 언어습득장치 (
    리포트 | 51페이지 | 2,500원 | 등록일 2020.11.08
  • 한글파일 (방송통신대 프로그래밍언어론 중간과제물)프로그래밍 패러다임과 프로그래밍 언어 패러다임 BNF로 표현된 다음 구문을 EBNF로 표현하고, BNF 표현과 EBNF 표현을 각각 구문 도표로 표현 등
    구문 도표(syntax diagram)는 구문을 도식적으로 기술하는 방법으로 BNF와 EBNF을 표현할 수 있다. 형태는 순서도와 유사하다. ... 패러다임 개념은 물리학에만 있지 않다. 이와 유사하게 프로그래밍 분야에서도 프로그래밍을 작성하는 전형적인 방식을 프로그래밍 패러다임이라고 부른다. ... 아울러 C언어처럼 객체지향언어는 아니지만 구조체나 공용체 등의 기법을 활용해 객체지향프로그래밍을 어느 정name = name def run(self): # 인스턴스 메서드 print
    방송통신대 | 7페이지 | 15,000원 | 등록일 2021.09.08 | 수정일 2021.10.04
  • 워드파일 방통대 중간과제물 2학년 2학기 프로그래밍언어
    오늘날 자바 등 여러 언어는 컴파일러나 가상머신으로 syntax error 등 오류가 생길 수 있는 부분을 자동으로 처리해주지만 C언어는 ‘프로그래머를 믿기 때문에’ 수동으로, 컴파일 ... 2020학년도 ( 2 )학기 과제물(온라인제출용) 교과목명 :프로그래밍 언어론 학 번 : 성 명 : 연 락 처 : _____________________________________ ... C언어에 Class를 추가한 C++언어를 포함하여 자바, Objective-C 등 오늘날 많은 프로그래밍 언어의 기초가 된 언어이다. C언어의 정신은 1.
    방송통신대 | 3페이지 | 4,000원 | 등록일 2020.12.08
  • 한글파일 190501-190508 신경언어학
    *의미: 언어학적 표상(linguistic representation)과 개념적 의미(conceptual semantics)로 나눠짐 -언어학적 표상(문장을 만들 때 中): 사전적 ... -syntax-first model: 문장을 이해하는 과정에서 의미보다 통사적으로 먼저 처리가 된다는 model이다. ... approach/ 구구조규칙의 국지화를 알아보기 위해서는 다양한 방법 用 rus(anterior to auditory cortex); 청각피질 앞쪽에서 일어남, 이는 단순한 감각적 반응 이상 언어학
    시험자료 | 3페이지 | 2,000원 | 등록일 2019.06.30
  • 한글파일 구조주의 이론
    구조주의 서술학 구조주의 내러티브 이론은 초보적인 언어학 이론에서 발전되었다. 구문(syntax, 문장구조의 법칙)이 내러티브의 제반 법칙들의 기본 모델이 된다. ... 언어학적 배경 소쉬르는 언어학 연구의 목적과 말과 사물 사이의 관계에 대한 새로운 답을 제시한다. ... 언어는 수많은 기호 체계 중의 하나일 뿐이다. 이러한 기호 체계에 관한 학문을 ‘기호학’이라 한다. 구조주의와 기호학은 흔히 같은 이론적 범주에 속한다.
    리포트 | 10페이지 | 5,000원 | 등록일 2020.10.27 | 수정일 2023.05.13
  • 한글파일 의사소통장애(말장애-언어장애)의 교육 및 지도(치료)방법
    셋째, 통사론(문법)에 기초한 프로그램법(syntax-based programs)은 아동에게 주어, 동사, 목적어, 어순, 문장 구조 등을 체계적으로 지도한다. ... 그러나 의학적 중재를 통해 이득을 얻을 수 없는 경우에는 언어병리학자나 언어치료사, 특수교사의 도움을 받을 수 있다. 언어치료사는 말 ? 언어장애에 대한 진단 ? 평가 ? ... 협력할 필요가 있다. * 참고문헌 이상행동의 심리학 / 이현수, 이인혜 외 3명 저 / 대왕사 / 2012 인간행동과 사회환경 - 고명수/이승현 외 3명 저, 정민사, 2018 발달심리학
    리포트 | 5페이지 | 2,500원 | 등록일 2020.09.16
  • 한글파일 정신지체아의 특성(지적장애 아동의 특징)
    다시 말해 언어에 대한 연구가 언어의 형태(form)측면에서 음운론(phonology), 형태론(morphology), 구문론(syntax)으로, 내용(content)의 측면에서 의미론 ... 한국의 장애학생 부모들이 가장 선호하는 치료 활동이 언어치료라는 것은 어쩌면 매우 당연한 결과라고 할 수 있다. * 참고문헌 이상행동의 심리학 / 이현수, 이인혜 외 3명 저 / 대왕사 ... / 2012 인간행동과 사회환경 - 고명수/이승현 외 3명 저, 정민사, 2018 발달심리학 : 신명희, 서은희 외 3명 저 / 2013 / 학지사 공중보건학 / 김낙상 저, 에듀팩토리
    리포트 | 7페이지 | 2,500원 | 등록일 2020.09.03
  • 한글파일 러시아 언어의 미래
    고교회슬라브어의 구조상 특징은 남슬라브어에서 그리스어의 통사법(統辭法:syntax)의 표현력과 유연성(柔軟性)을 섭취한 것인데, 루시에서도 그 문화환경을 소화시켜 러시아화한 고교회슬라브어는 ... 다만 여기에 덧붙여 러시아의 현재 언어정책과 인구학적 측면도 함께 고려하였는데, 해당 나라의 언어 정책과 인구의 증감도 언어의 미래를 결정짓는데 상당부분 기여한다고 판단하였기 때문이다 ... 인구학적 고려 요소 2005년 1월 현재 러시아의 인구는 약 1억 4,300만 명으로 세계 7위의 인구대국이다.
    리포트 | 4페이지 | 2,000원 | 등록일 2023.11.26
  • 한글파일 (방송통신대 프로그래밍언어론)교재와 강의에서 언급된 프로그래밍 언어 기준으로 다음에 대해 각 15줄 이내로 설명하시오 BNF EBNF 구문 도표 good.
    구문 도표(syntax diagram)는 구문을 도식적으로 기술하는 방법으로 EBNF와 일대일 대응한다. 형태는 순서도와 유사하다. ... 2020학년도 (2)학기 과제물(온라인제출용) 교과목명 : 프로그래밍 언어론 학 번 : 성 명 : 연 락 처 : ______________________________________ ... 이는 풍부한 라이브러리 제공으로 가능한데, 데이터 분석과 기계학습에 사용되는 라이브러리에는 NumP, SciPy, Panda, matplotlib, scikit-learn, TensorFlow
    방송통신대 | 6페이지 | 3,000원 | 등록일 2020.10.01 | 수정일 2021.01.10
  • 한글파일 (언어의이해 C형) 컴퓨터언어학은 무엇을 어떻게 연구하는지를 간략히 서술
    자연언어의 문장은 형태소 분석(morphological analysis) - 구문 분석(syntax analysis) - 의미 분석(semantic analysis) - 화용 분석( ... 컴퓨터 언어학에 대한 연구목적 2. 자연언어에 대한 연구 1) 언어학의 특성 2) 자연언어와 전산언어의 차이 3. ... 컴퓨터 언어학에 대한 개념 1) 컴퓨터 언어학의 연구 컴퓨터 언어학(Computational Linguistics)는 언어학학자들은 컴퓨터 언어에 대한 이해, 발화, 언어 처리는 물론
    방송통신대 | 6페이지 | 3,000원 | 등록일 2019.09.04
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업