• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(11,987)
  • 리포트(11,170)
  • 시험자료(441)
  • 방송통신대(163)
  • 자기소개서(157)
  • 논문(36)
  • 서식(20)

"시계반응" 검색결과 381-400 / 11,987건

  • 한글파일 [30점 만점][데이터정보처리입문]방송통신대 정보통계학과 1학년 1학기 출석대체시험 과제물
    로서, 총표본의 크기 n만이 미리 결정되어 있다면 i번째 반응을 나타내는 개체의 총수는 f_{i·}= sum _{i=1} ^{J } f_{ij} 가 된다. ... 연도별 전국 출생성비데이터를 엑셀을 활용하여 위와 같이 시계열도표로 나타내었다. ... . 1990년부터 2017년까지의 연도별 총출생성비에 대하여 서울과 부산으로 나누어 시계열도표를 작성해보았다.
    방송통신대 | 4페이지 | 3,000원 | 등록일 2019.06.24 | 수정일 2019.07.01
  • 한글파일 주기적 색깔변화 실험 보고서
    이론 및 목적 ●시계반응이란? - 일정 시간이 경과한 후 변색, 침전의 생성 등의 뚜렷한 변화를 일으키는 반응이다. ... 요오드가 생성되면서 요오드와 녹말의 반응으로 색이 청색으로 변하게 되고 요오드가 다시 소모되며 산화환원반응을 통해 다시 노란색으로 돌아오면서 시계반응 실험을 하여 신기 하게 느껴졌습니다 ... 참고문헌 시계반응 네이버 지식 산화환원- [네이버 지식백과] 반응 속도 [reaction rate] (화학백과) [네이버 지식백과] 반응 속도 상수 [reaction rate constant
    리포트 | 8페이지 | 1,500원 | 등록일 2022.10.26
  • 워드파일 소재화학 손목시계 소재 개발동향 과제 레포트
    기본적으로 OLED는 전류를 가했을 때 이에 반응해 빛을 내는 발광물질들로 이루어진 ‘발광층(EML ; Emission Material Layer)’에서 빛을 낸다. ... 현재까지도 이 두 가지 손목시계를 사람들은 많이 착용하고 다니지만, 요즘 같은 스마트 시대에 손목시계도 스마트워치가 큰 인기를 끌고 있다. ... 손목시계는 단순한 시간표시 기능을 넘어 다양한 디자인과 기능을 제공하고 있다. 과거부터 현재까지 손목시계의 디자인은 크게 세 가지 단계로 나눌 수 있다.
    리포트 | 11페이지 | 4,000원 | 등록일 2023.06.17
  • 한글파일 1주 2강에서 절대역과 차이역에 대해 학습하였습니다. 절대역은 자극이 존재한다는 것을 아는데 필요한 자극의 최소정도를
    50%를 유지하는데 이는 반응이 일어나거나 일어나지 않는 수준을 뜻한다. ... 원래 차이역이라는 개념은 물리적 자극에 대한 인간의 지각 특성을 설명하는 것으로 사회적 자극에 대한 심리적 반응에도 동일하게 적용 가능하다. ... 또 다른 예를 살펴보면 시계 소비에 있어 나를 가장 만족시킬 최소의 요소를 지닌 기계식 시계를 우연히 발견하게 되면 이것이 내 소비의 절대역이 된다.
    리포트 | 5페이지 | 2,000원 | 등록일 2023.02.21
  • 한글파일 한국방송통신대 데이터정보처리입문 과제물
    로서, 총표본의 크기 n만이 미리 결정되어 있다면 i번째 반응을 나타내는 개체의 총수는 f _{i BULLET } = sum _{j=1} ^{J}f _{ ij} 가 된다. ... 2016년까지의 연도별 서울과 부산의 총출생성비를 하나의 시계열도표에 나타내고 비교하여 설명하시오. (3) 1993년부터 2016년까지의 연도별 전국 합계출산율을 시계열도표로 나타내고 ... 2016년까지의 연도별 서울과 부산의 총출생성비를 하나의 시계열도표에 나타내고 비교하여 설명하시오. (3) 1993년부터 2016년까지의 연도별 전국 합계출산율을 시계열도표로 나타내고
    방송통신대 | 5페이지 | 4,400원 | 등록일 2020.03.30 | 수정일 2021.04.01
  • 한글파일 정신간호학, 영화속 의사소통을 분석하여 치료적, 비치료적의사소통으로 재구성하기-영화 플랜맨
    모 습을 보이자 소정은 (등을 돌린 채 흥얼거리며) 미치겠어~ 불안해서 미치겠어~ 라며 표현된 감정을 경시하는 반응을 보였다. -> 불안해하는 정석을 보며 아저씨는 오늘 시계가 없어서 ... 해석하여 반응했다. -> (웃으며) 이상하면 치과가!! ... 시간을 봐야하는데 시계가 없 으니 불편하고 답답했어요.
    리포트 | 9페이지 | 3,000원 | 등록일 2022.12.27
  • 한글파일 디지털제품과 일반 아날로그제품을 선택해서 신제품개발과정의 공통점과 차이점을 분석하여 과제를
    세 번째로 스마트링 아이디어를 고객 입장에서 고객이 사용하는 언어를 통해 구체적으로 표현하는 제품개념이 개발되고 고객 반응을 평가해 적합성을 판단하기 위한 컨셉 테스트를 실행한다. ... 따라서 새로운 디지털 시계는 이러한 시계에서 벗어는 새로운 웨어러블 디바이스의 상용화로 가야할 것이다. ... 모순적이지만 앞으로 개척해야 될 시장은 아무도 개척하지 못한 시장이어야 하기 때문에 시계가 아닌, 디지털 웨어러블 워치가 바로 디지털 시계의 다음 세대가 될 것이다.
    리포트 | 4페이지 | 2,000원 | 등록일 2024.02.06
  • 한글파일 영화감상문) 더 파더 (치매, 알츠하이머 영화) 안소니 홉킨스 주연
    또 다른 낯선 남자가 등장하는데 이번엔 그가 차고 있는 손목 시계에 집착한다. 자신의 시계인지 의심하는 눈초리를 보내며 자꾸 시계에 관한 질문을 한다. ... 파더는 시계 문제로 간병인 내쫓았다며 시계에 집착한다. 앤은 큰 결심을 한 듯 파더에게 말한다. 새 애인이 생겨 그가 있는 파리로 간다고 전한다. ... 아마도 늙은 노인네를 힘들게 수발하는 자신보다 죽은 딸을 더 그리워하는 모습에서 나온 반응인 것 같다. 로라는 파더를 잘 챙긴다. 파더도 앤보다는 로라를 더 잘 따르는 모양이다.
    리포트 | 3페이지 | 1,500원 | 등록일 2021.12.27 | 수정일 2023.08.22
  • 워드파일 유사실험설계의 목적과 유형을 설명하고 그에 따른 장단점을 기술하시오.
    이 경우 클라이언트를 수집하고 유지하기 위해서는 조사원이 자신의 사건배분 과정과 측정요건을 중심으로 반응을 형성할 필요가 있다. ... 시계열 설계 시계열 설계방법은 단순 시계열 설계방법과 다중 시계열 설계방법으로 세부적으로 나뉜다. ... 다중 시계열 설계법은 단순 시계열 방식보다 강력하며, 비동일 대조군 설계에 시계열 분석을 추가해 실험군과 비동일 대조군 모두에 대해 개입 전후의 여러 관점을 측정하는 방법을 활용한다
    리포트 | 3페이지 | 3,000원 | 등록일 2023.03.08
  • 워드파일 (A+) 무기화학 실험 결과 보고서 - Potassium trioxalatoaluminate
    이때, 회전방향이 시계 반대방향이면 람다 이성질체이고, 회전방향이 시계방향이면 델타 이성질체입니다. ... 이러한 구조들은 동일한 것을 여러가지 방법으로 표현한 것으로 시계 반대방향 성질과 시계 방향 성질을 각각 (람다,lambda)와 (델타,delta) 로 표시합니다. ... 손대칭성 > 첫번째 것은 왼손성을 가진 것으로, 공기나 물속에서 시계 반대방향으로 회전하면 왼손 엄지손가락이 가리키는 쪽으로 전진하며 멀어집니다.
    리포트 | 6페이지 | 3,000원 | 등록일 2021.03.26 | 수정일 2021.04.24
  • 한글파일 2022 경희대, 중앙대 간호학과 최초합 면접자료
    또, 통속적으로는 생물시계 ·체내시계. ... 산화, 염증반응, 당화반응 등이 있는데 당 분자가 단백질이나 지방 분자에 달라붙는 화학반응으로,세포막의 탄력을 떨어뜨리고 심한 경우 세포를 죽게 만들기도 한다. ... 탄수화물의 베네딕트반응(황적색) 단백질의 뷰렛반응(청람색) 지질의 수단3(선홍색)을 이용한 것이다.
    자기소개서 | 5페이지 | 3,500원 | 등록일 2022.09.13
  • 한글파일 시계열 설계
    반응적 효과 시험을 반복하기 때문에, 반응적 효과 또한 문제이다. * 참고문헌 사회복지의 이해 : 윤찬영 저, 정민사, 2017 한국 사회복지실천과 복지경영 : 최성균/이준우 저, ... [그림] 시계열 설계 (1) 내적 타당성에 대한 위협 요소 사건은 시계열 설계에서 내적 타당성을 위협하는 주요 요인이 된다. ... 시계열 설계 시계열 설계는 개입 전 여러 번 측정하고, 개입 후 여러 번 측정함으로써 이전에 언급된 설계들의 (몇몇) 문제점들을 극복할 수 있는 방법이다.
    리포트 | 2페이지 | 1,000원 | 등록일 2020.07.15
  • 한글파일 실험설계와 유사실험설계를 구분 짓는 가장 큰 차이점 3가지와 그 이유
    대조군 시계열 설계은 단일집단 시계열 설계에 단순히 대조군을 더한 형태(단일집단 시계열 설계 보완)이다. ... 또한 실험과정에 대한 반응 효과가 연구결과의 일반화 가능성에 해를 입힐 수도 있으나 무작위 대조군 사전-사후 설계보다는 그 정도가 덜하다. ... 유사실험설계 종류에는 비동등성 대조군 사전-사후 설계, 비동등성 대조군 사후 설계, 비동등성 대조군 전후 시차설계, 단일집단 시계열설계, 대조군 시계열설계가 있다.
    리포트 | 4페이지 | 2,000원 | 등록일 2022.12.02
  • 워드파일 [유기실2 A+] The Diels-Alder Reaction of (E,E)-2,4-Hexadien-1-ol with Maleic Anhydride 프리랩
    R, 반시계방향이면S입니다. ... TLC 관찰결과로 limitin동안 ice-water bath 에 담가 둔다. 7. filter paper, 시계접시의 무게를 미리 잰 후 Vacuum filtration으로 고체를 ... 결합하는 각각 우선 순위가 높은 두 치환기가 이중결합의 같은 쪽에 오는 이성질체를 Z(독일어의면 젤 뒤에 놓고 원자번호가 큰 순서대로1등,2등,3등을 나열했을때 이것들이 도는 방향이 시계방향이면
    리포트 | 3페이지 | 2,000원 | 등록일 2023.05.19 | 수정일 2023.09.10
  • 한글파일 노인간호, 노화이론
    이론 모든사람은 수정되는 순간부터 시작되는 생체시계 또는 자연 프로그램이 내장되어있다고 가정.유기체의 생체시계는 생명을 유지시킬에너지,엔트로피,기타물질의 양을 프로그램 해 놓는다.이 ... 생성해 세포는 비정상적으로 성장하여 노화를 유도.인체는 대사를위해 산소를 필요로 하고 이 산화산물이 끊임없이 조직에 축적되어 인체를 파괴한다. (4)교차연결이론:결체조직이론 화학적 반응에 ... 각종기관과 조직간의 상호작용을 조절 (3) 면역이론 명역계의 기능면화를 의미한다고 주장함.B세포,T세포의 변화로 자가조절능력 저하.노화로 변화된 세포를 이물질로 인식해 불필요한 면역반응
    리포트 | 3페이지 | 1,000원 | 등록일 2023.09.19
  • 워드파일 무기공업분석실험 만점(+1등) 레포트, 철의 정량
    실험 반응시료인 황산제일철암모늄은 Mohr염으로 불리며, 약 1g (시료A에서 1.0251g, 시료B에서e(OH)3와 Fe2O3∙n(H2O)로 볼 수 있다. ... 용액에 존재하는 철 이온(Fe2+)과 지시약이 반응하면 파란색을 가지게 된다. 철 이온(Fe2+)이 모두 산화되어 (Fe3+)이온으로 변화하면 지시약이 파란색으로 변하지 않는다. ... 충분한 세척을 마치면 시료 A와 B에서의 각 여과지를 시계접시로 옮겨주는데, 시계접시는 라벨링을 통해 시료의 구분이 가능해야 한다.
    리포트 | 8페이지 | 1,000원 | 등록일 2023.04.23
  • 파일확장자 (반응속도관찰)온도 변화가 반응속도에 미치는 영향을 Arrhenius 관계식을 활용하여 정량적으로 해석
    기구 및 시약항온조 (또는 히팅맨틀), 유리마개 달린 250 mL 삼각플라스크, 시계, 5 mL 피펫, 적정용 뷰렛, 플라스크 뷰렛 받침대와 뷰렛 클램프, 아세트산 메틸, 수산화나트륨 ... 실험 목적온도 변화가 반응속도에 미치는 영향을 Arrhenius 관계식을 활용하여 정량적으로 해석한다 서로 다른 온도에서 얻어진 반응 데이터를 이용하여 온도에 따른 각각의 속도상수 ... Arrhenius 를 구한다 그리고 식을 이용하여 반응의 활성화에너지를 계산한다.2.
    리포트 | 17페이지 | 2,000원 | 등록일 2022.11.04
  • 한글파일 연구수업계획안(영역별활동계획안), 교구제작, 반일보육활동계획안
    수업 욕심을 버리고 친구들이 즐겁게 참여할 수 있는 선에서 반응을 살펴가며 실행 해 나가는 게 필요할 것 같다. ... 시계 관찰 후 시계의 역할에 대해 알아보기 . 종이컵을 이용해서 나만의 시계 만들기 활동하기 . ... 시계를 주제로 한 동요 ‘시계는 아침부터 똑딱똑딱 ’을 신나게 불러본다. 2. ‘시계그림책’을 읽고, 시간의 개념에 대해 인지한다. 2.
    리포트 | 3페이지 | 2,000원 | 등록일 2022.03.09
  • 한글파일 정신간호 의식장애 상황별 역할극
    (손목시계보며) 간호사: 오늘은 좀 어떠세요? 환자: ?? 나는 침대가 좋아... 잠온다... 간호사: 환자분? 성함 아세요? 환자: 우리 집 시계는 느려 너무느려... ... (젖꼭지 꼬집기) 환자 : (반응 없음) ... 환자 : (반응 없음) 간호사 : (어깨 두드리며) 환자분 눈 한 번 떠볼까요?? 환자 : (반응 없음) 간호사 : 환자분 제가 좀 꼬집을게요!
    리포트 | 3페이지 | 1,000원 | 등록일 2021.04.13
  • 한글파일 유사실험설계의 목적과 유형을 설명하고 그에 따른 장단점을 기술하시오
    그렇지만, 두 집단 사이의 동질성이 명확하지 않아서 내적인 타당도를 저해하게 될 수가 있고, 독립변수가 상호작용하는 것, 조사에 대한 반응성 등으로 외적인 타당도 역시도 저해하게 될 ... 넷째, 사후검사를 실시하게 되어 그 효과를 비교해본다. 2) 시계열설계- 단순시계열 설계 : 독립변수를 노출시키기 전과 그 후에 일정한 기간을 두게 되고 정기적으로 몇 차례(독립변수 ... 한편, 비동일 통제집단 설계는 사회복지의 분야에서 프로그램에 대한 효과성을 평가하는 것에 많이 활용이 되고 있다. 2) 단순시계열 설계 : 단순시계열 설계는 실험변수가 개입함으로 인해서
    리포트 | 3페이지 | 2,000원 | 등록일 2023.09.13
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업