• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(107)
  • 리포트(105)
  • 자기소개서(1)
  • 시험자료(1)

"논리프로브구성실험" 검색결과 21-40 / 107건

  • 한글파일 (기초회로 및 디지털실험) 비 안정 멀티바이브레이터의 설계
    프로브를 이용해 오실로스코프에서 파형을 관찰하고 주파수를 확인한다. (4) 실험을 통해 동작 결과를 검증한다. (5) 실험 결과를 바탕으로 결과 보고서를 작성한다. 4. ... 주파수(Frequency) 발진기로 연결된 LM 555 타이머 논리 심볼은 [그림 12-3]에 나타나 있다. 타이밍 콘덴서 C는 저항 R _{A} ,`R _{B}? ... 회로 도안 Pspice 프로그램을 사용하여 도안2 회로를 구상해 본 후 시뮬레이션한 결과 비 안정 멀티바이브레이터의 예시회로를 참고하여 Pspice프로그램을 이용하여 도안 회로를 구성
    리포트 | 5페이지 | 1,500원 | 등록일 2021.07.13 | 수정일 2022.02.16
  • 한글파일 gate를 이용한 결합, 분배, 보수성의 법칙 설계
    실험 기구 ● 논리회로 실험장치 (브레드보드) IC칩과 도선을 연결해 회로를 구성하고 최대 5V 까지 전압을 줄 수 있는 기구 ● 오실로스코프 교류 신호를 측정 분석하는 전자계측 장비로 ... 실험 원리 ① 오실로스코프의 사용법 프로브를 원하는 숫자에 연결한 후 Y축 확대, 축소할 때 사용한다. Horizontal ? 파형의 X축 확대 및 축소할 때 사용한다. ... 여러 가지 게이트를 이용하여 회로를 구성하고 출력값이 High(1)인지 Low(0)인지 알아보는 실험이다.
    리포트 | 26페이지 | 1,500원 | 등록일 2020.11.15 | 수정일 2022.04.23
  • 워드파일 반도체공정정비요약
    환경 및 기계적 실험. 최종 시험. ... 가장 기초적 부품으로 조합에 따라 AND, OR 등의 논리 게이트 형성. 또한 논리게이트의 재조합으로 연산기, 기억장치를 만들 수 있음. ... 독성이 전혀 없어 환경적으로 우수 웨이퍼 재료 단일 원소 반도체(Si, Ge), 화합물 반도체(GaAs) 도핑제 진성 반도체 : 단일원소만으로 구성 불순물 반도체 : 단일 원소에 불순물
    시험자료 | 19페이지 | 1,500원 | 등록일 2021.07.16
  • 한글파일 디지털 회로실험 1장
    REPORT 실험조:2009130030 이동욱 2009130009 김슬기 12.10.09 2009130030 메카트로닉스과 이 동욱 1. ... 입력당시, 오실로스코프 측정한 상태 출력 후 CH1과 CH2 출력 비교형상 6) 우리의 실험 목표는 논리프로브구성하고 이해하여 논리레벨 측정 및 유효 논리레벨을 비교실험 결과 7404 ... 프로브 구성 회로도 4.
    리포트 | 7페이지 | 15,000원 | 등록일 2012.12.03
  • 한글파일 논리프로브 구성예비보고서
    실험순서 1) 표시된 핀 번호를 보고 간단한 논리 프로브 회로를 구성한다. ... 실험목표 -7404인버터를 사용한 간단한 논리프로브구성 -제작된 논리 프로브를 이용한 회로테스트 -시험회로에서의 가상적 결함에 대한 고장진단 -디지털 멀티미터와 오실로스코프를 사용한 ... 예비보고서 1.
    리포트 | 4페이지 | 2,500원 | 등록일 2009.09.19
  • 한글파일 논리프로브 파워포인트자료대본및 결과 비고및 고찰
    실험2. 논리프로브 구성 -PPT를 통한 결과보고서 발표. 1. 인사 및 조원소개 2. 결과 보고서 발표 “ ‘논리프로브 구성’ 결과 발표를 맡은 mmm 라고 합니다.” ... -이번 실험의 목표는 우선 , 7404 인버터를 사용한 간단한 논리 프로브구성하고 제작된 논리프로브를 이용한 회로 테스트와 가상적 결함에 대한 고장진단을 하는 것입니다. ... 비고 및 고찰 이번 실험의 목적은 7404 인버터를 사용한 간단한 논리 프로브구성하여, 이를 이용한 회로 테스트 및 시험회로에서의 가상적 결함에 대한 고장진단, 디지털 멀티미터와
    리포트 | 5페이지 | 1,000원 | 등록일 2008.09.29
  • 한글파일 결과보고서 - Logic Gate
    Breadboard 사용 방법을 익히고, 간단한 논리 회로를 구성해본다. 2. ... 사진과 같이 회로를 구성한 후 동작을 시험해 보았으나 원하는 결과를 얻을 수 없었고 이후 여러 번 다시 회로를 구성하여 실험하였음에도 결과는 변하지 않았다. ... (브레드 보드, 전선, 프로브, IC 자체 또는 전원공급장치, 계측기 등의 노후·불량·이상으로 임피던스가 증가한 것이 원인이라고 생각된다.) [ Full Adder 구현하기 ] 아래의
    리포트 | 5페이지 | 1,000원 | 등록일 2017.11.08
  • 한글파일 디지털로직실험/최신 디지털 공학 실험8 논리 회로 간소화
    실험 순서 5에서 그린 회로를 구성하여라. 입력의 모든 조합에 대해 테스트하여 실험 보고서에 있는 표 8-3 진리표를 완성하여라. ... 실험 8 논리 회로 간소화 실험목표 ▣ BCD 무효 코드 검출기에 대한 진리표 작성. ▣ 카르노 맵(Karnaugh mpa)을 이용한 표현식의 간소화. ▣ 간소화된 표현식을 구현하는 ... 고찰 이번 실험에서는 처음으로 카르노맵에 대해 배워보았다. 논리 회로에서 카르노 맵(Karnaugh map, 간단히 K-map) 불 대수을 단순화 하는 방법이다.
    리포트 | 24페이지 | 1,000원 | 등록일 2014.06.30
  • 한글파일 오실로스코프 실험
    구성실험 결과 - 오실로 스코프 선(프로브선)은 오실로스코프 기기 밑에 1,2숫자가 있는 포트가 있는데, 각 각 채널을 의미하는 것이다. ... 통해 신호의 종류와 주파수에 따라 오실로스코프를 어떻게 다뤄야 하 는지에 대해 궁금함이 생겼습니다. => 추후 실험실 개방시간에 해 볼 예정입니다. - 프로브의 동작 원리와 이상적인 ... 될 논리회로 실 험을 조금 친근하게 접근할 수 있을 것 같습니다. - 오실로스코프에서 측정 가능한 파형은 어떤 종류가 있는지, 그리고 측정 가능한 주파수 범 위는 어떻게 되는지를
    리포트 | 4페이지 | 1,000원 | 등록일 2017.06.07
  • 한글파일 (예) 1.실험기기 사용법
    디지털 논리 회로 실험 예비 레포트 (실험1 실험기기 사용법) 실험의 목적 ? ... 브레드보드 디지털 발진(Oscillator) 회로 구성과 오실로스코프를 사용하여 여러 가지 파라미터 측정. 실험의 개요 ? 디지털 멀티미터를 이용한 DC전압 측정 ? ... 오실로스코프 프로브의 접 지 리드선을 전원공급장치의 접지에 연결하고 프로브를 전원 장치의 출력 단자에 접촉 하여라.
    리포트 | 3페이지 | 1,000원 | 등록일 2015.12.11
  • 한글파일 디지털로직실험/최신 디지털 공학 실험3 수체계
    회로를 구성한 후 스위치를 0000부터 1111까지 조정하면서 7-세그먼트 디스플레이의 표시되는 숫자를 관찰하니 우리가 생각했던 논리 값과 일치되었다. ... 이번 실험에서는 단순화된 디스플레이 장치를 구성해 볼 것이다. 7447A의 핀 번호실험순서 1. ... 이 실험의 회로를 구성하기 전에 ‘실험 개요’의 ‘회로 결선’ 부분을 복습하도록 하여라. 이번 실험부터 IC에 대한 핀 번호는 생략한다.
    리포트 | 14페이지 | 1,000원 | 등록일 2014.06.30
  • 한글파일 함수발생기 및 오실로스코프 사용법 예비
    이 때, 프로브는 10 : 1 위치로 놓아라. (3) 디케이드 커패시터와 가변저항 및 함수발생기를 사용하여 의 회로를 구성하라. ... 그리고 디지털회로에서 입력파형으로 요구되는 TTL 및 CMOS 논리 신호도 제공되므로 디지털 회로 분석에도 용이하다. ... 실험목적 (1) 함수발생기의 사용법과 파형에 대한 기본적인 용어를 익힌다. (2) 오실로스코프의 사용법을 익힌다. 이론 1.
    리포트 | 5페이지 | 1,000원 | 등록일 2015.10.18
  • 한글파일 디지털로직실험/최신 디지털 공학실험 13 디멀티플렉서를 이용한 조합 논리
    디코딩과 출력 논리에 대한 진리표가 표 13-1에 나타나 있다. 진리표는 그레이 코드로 구성되어 있는데, 상태를 차례로 수행하기 위해 순차 논리가 이 코드를 사용한다. ... 카운터를 구성하고 카운터 출력(Q1, Q2)에 74LS139A의 SELECT 입력을 연결하여라(실험순서 1에서 사용한 스위치는 제거해야 한다.). ... 실험 13 디멀티플렉서를 이용한 조합 논리 실험목표 ▣ 디멀티플렉서를 이용한 다중 출력 조합 논리 회로의 설계. ▣ 오실로스코프를 이용하여 카운터-디코더 회로의 타이밍 다이어그램 작성
    리포트 | 11페이지 | 1,000원 | 등록일 2014.06.29
  • 한글파일 디지털로직실험/최신 디지털 공학 실험7 부울법칙과 드모르간의 정리
    LED를 사용하여 출력 논리를 확인하고, 표 7-5를 완성하여라. 2. 그림 7-6의 회로를 구성하여라. ... 0.1μF 커패시터 3개 이론요약 부울대수(Boolean algebra)는 논리적인 관계를 결정하는 일련의 법칙들로 구성되어 있다. ... 부울 대수의 기본 법칙들 이외에도 하나 이상의 변수 위에 바가 있는 논리 표현들을 간소화해 주는 드모르간의 정리(DeMorgan's -1의 회로를 구성하여라.
    리포트 | 14페이지 | 1,000원 | 등록일 2014.06.29
  • 한글파일 전자공학과 디지털 회로 및 실험설계 텀프로젝트 간이 전압계입니다.
    팀원 구성 및 역할 4. 사용 부품 및 공구 5. 실험원리 5-1 실험 부품 설명 5-2 동작 원리 6. 실험결과 1. ... 제작 동기 디지털회로 실험 및 설계 강의를 들으면서 우리가 배웠던 논리게이트, 여러 가지 플립플롭, 멀티플렉서, 디멀티플렉서, FND, 카운터 등을 사용하여 만들 수 있는 작품을 찾다가 ... 팀원 구성 및 역할 학 과 이름 역 할 전자공학과 임종호 회로구성 및 납땜, 외관 전자공학과 김정호 외관 담당 전자공학과 신현재 부품조달 및 정보검색 4.
    리포트 | 9페이지 | 2,000원 | 등록일 2016.04.06 | 수정일 2017.05.23
  • 한글파일 디지털로직실험/최신 디지털 공학 실험 14 D래치와 D플립플롭
    회로를 구성하고 1Hz로 클럭을 설정하여라. 클럭 펄스보다 앞서 데이터 스위치를 HIGH 또는 LOW로 놓고 결과를 관찰하여라. 실험 보고서에 관찰 결과를 서술하여라. ... (gated) D 래치 구성과 테스트. ... 논리 1이 입력되면접지에 접촉된다면 적색 LED가 켜진 상태로 유지된다.
    리포트 | 18페이지 | 1,000원 | 등록일 2014.06.29
  • 한글파일 디지털로직실험/최신 디지털 공학 실험 16 J-K플립플롭
    실험 순서 1에서의 실험구성하여라. ... 실험 순서 2. J-K 플립플롭 클럭에 관한 관찰: 먼저 PRE와 CLR을 논리 1로 설정했으니 J-K 플립플롭이 정상동작을 할 것이다. ... 실험 16 J-K 플립플롭 실험목표 ▣ 비동기 및 동기 입력을 포함한 J-K 플립플롭의 여러 구성에 대한 테스트. ▣ 토글 모드에서 주파수 분할 특성 관찰. ▣ J-K 플립플롭의 전달
    리포트 | 18페이지 | 1,000원 | 등록일 2014.06.29
  • 한글파일 디지털로직실험/최신 디지털 공학 실험 11 가산기와 크기비교기
    회로도로부터 회로를 구성하여라. 실험 보고서의 표 11-4 진리표에 있는 모든 가능한 입력을 테스트 하여라. ... 이 실험 결과 또한 원하는 결과가 논리값과 같게 나왔기 때문에 성공적으로 실험을 마쳤다. 평가 및 복습 문제 3. 7483A 가산기에서 C0입력의 기능은 무엇인가? ... 출력은 LED로부터 읽을 수 있는데, LED가 ON일 때는 논리 1을, OFF일 때는 논리 0을 나타낸다.
    리포트 | 18페이지 | 1,000원 | 등록일 2014.06.29
  • 한글파일 논리회로실험 예비보고서1 Basic Gates
    Basic Gates 실험목적 ? Logic gates의 종류에 대해 알아보고, gate를 이용하여 회로를 구성하는 것에 익숙해진다. ? ... gate로 구성된 회로를 이용하여 Boolean equation을 배우고, De Morgan 법칙에 대해 알아본다. 실험이론 ? ... 즉, 연산의 종류와 변수들이 참인가 거짓인가에 따라 논리적 명제들이 참 혹은 거짓이라는 논리에 바탕을 둔다.
    리포트 | 14페이지 | 1,500원 | 등록일 2017.03.09 | 수정일 2017.05.30
  • 한글파일 경북대학교 전자공학실험1 올A+ 결과보고서 7장
    (동작을 확인하지 못함) 실험 3 - Serial communiacation ①회로를 구성한 뒤 , 다음 값을 인가한다 a b c d e f g 0 0 1 1 1 1 1 를 인가한다 ... D0과 D1 의 주파수가 측정되지 않은 것은, 이론상 그 값이 1Hz 와 10Hz 인데, 그 값이 너무 낮아서 오실로스코프로 확인이 안된 것으로 보인다. ※ EN=HIGH 일 때는 프로브 ... 실험7장. 디코더와 멀티플렉서 1.
    리포트 | 6페이지 | 3,000원 | 등록일 2015.11.03 | 수정일 2022.03.28
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업