• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(934)
  • 리포트(921)
  • 자기소개서(8)
  • 논문(3)
  • 시험자료(1)
  • 이력서(1)

"오실로스코프의작동원리" 검색결과 101-120 / 934건

  • 워드파일 A+ 중앙대 아날로그및디지털회로설계실습(결과)2. Swithcing Mode Power Supply(SMPS)
    제대로 작동중 12.5kHz의 pwm아웃풋 스위칭 전압을 맞췄을 때의 가변 저항값 스위칭 주파수 12.5 ㎑를 맞추기 위해 가변저항 값을 바꾸면서 오실로스코프를 확인하였고 그 결과 ... 아날실습2 결과 요약 : SMPS (Switching Mode Power Supply)의 동작 원리와 회로 모듈을 이해하여 SMPS를 설계하였다. pwm 제어회로를 구성하였을 때 4번 ... 오실로스코프의 measure버튼을 이용하여 voltage를 average한 값을 오실로스코프에 설정하여 측정함. duty ratio 최대 47.7% 45.1% 40% 35.1% 30.7%
    리포트 | 6페이지 | 1,000원 | 등록일 2022.09.10
  • 한글파일 [부산대]일반물리학실험2 보고서(물리학과) 교류회로(A+)
    결론 이번 실험에서는 교류회로에서 저항과 축전기가 각각 어떻게 작동되는지를 알아보았다. ... 실험원리 교류(Alternating Current)는 줄여서 AC로, 직류(Direct Current)는 DC로 흔히 부른다. ... 위상차를 보면 V그래프가 I그래프보다 pi /2만큼 뒤처진 것으로 보아 앞서 실험 원리에서 언급했듯이 위상차가 ?90˚이므로 실험이 잘 이루어졌다고 볼 수 있다. 8.
    리포트 | 9페이지 | 2,000원 | 등록일 2022.12.30 | 수정일 2023.01.03
  • 한글파일 [보고서1등] 기초 계측 장치 활용 실습 (예비) [A+] 아주대 기계공학기초실험
    -내부 구조 및 작동원리 오실로스코프에서 사용하는 CRT (cathode-ray tube) 내부구조 1. 편향 전압 전극 2. 전자총 3. 전자빔 4. 초점 코일 5. ... 실험 이론 (1) 오실로스코프(Oscilloscope) 전자적 신호의 특정 파형 관찰에 쓰인다. ... 실험 목적 이번 실험에서는 파형측정기(Oscilloscope)와 함수발생기(Function Generator)의 원리와 기능을 이해하고 다양한 파형을 분석해본다.
    리포트 | 4페이지 | 2,000원 | 등록일 2019.09.05
  • 한글파일 [A+] 중앙대 전기회로설계실습 7주차 결과보고서 (RC회로의 시정수 측정회로 및 방법설계)
    커패시터의 작동 원리와 더불어 오실로스코프를 이용하여 RC회로의 전압 파형을 확인해보았다. 2. ... 방전되기 시작하는 순간과 0.368 V _{o}가 되는 순간에 맞춰 정확히 스톱워치를 작동시키는 것은 저항을 10.5`M ohm`이라고 한다면, 이론값 tau`=`R TIMESC`= ... 더불어 오실로스코프로 커패시터 전압과 저항 전압의 파형을 측정함에있어 Ch1 단자와 Ch2 단자의 연결 방법을 확인하고 저항 양단에 연결 시 오실로스코프 단자 사이 내부의 접지로 인해
    리포트 | 9페이지 | 1,000원 | 등록일 2021.05.27
  • 워드파일 아주대 기계공학기초실험 "기초 계측 장치 활용 실험 결과보고서"
    오실로스코프작동원리는 vertical input 단자에 가해진 입력 전압은 vertical amplifier에서 증폭되어 음극관의 수직 편향판에 전달이 된다. ... 실험 장치 및 방법 측정기구 - 파형측정기(Oscilloscope) - 함수발생기(Function Generator) - 멀티미터(Multimeter) 측정물품 -건전지 -저항 -발광 ... 실험 이론 오실로스코프 오실로스코프(파형측정기)는 전압을 시간의 함수로 표현하는 장치이다.
    리포트 | 12페이지 | 1,000원 | 등록일 2021.02.03
  • 한글파일 [중앙대학교 ] 전자전기공학부 전기회로설계실습(A+) 결과레포트13
    서론 인덕터는 발전기, 변압기등의 핵심적인 소자로서, 인덕터의 작동원리를 확인하는 것은 매우 중요하다. 2. ... 발전기 원리 실험 2018○○○○ ○○○ 요약: 인덕터의 동작원리인 Faraday’s law를 실험적으로 확인하기 위한 실습을 진행했다. ... 오실로스코프를 이용해 저항전압이 입력의 63%가 되는 time constant를 측정한다.
    리포트 | 10페이지 | 1,000원 | 등록일 2020.09.11 | 수정일 2020.09.12
  • 워드파일 2. Switching Mode Power Supply (SMPS) 예비보고서 - [아날로그및디지털회로설계실습 A+ 인증]
    (Oscilloscope) 브레드보드(Bread board) 파워서플라이(Power supply) 함수발생기(Function generator) 점퍼선 1대 1개 1대 1대 다수 4 ... 비교기 (Comparator)’, 마지막으로 출력 전압을 안정화 시킬 수 있는 Converter의 스위치를 구동하는 ‘구동회로 (Driver stage)’ 로 PWM 제어 회로가 작동된다 ... Diode SB540 Inverter 74HC04 1개 2개 2개 2개 2개 1개 5개 2개 2개 2개 1개 사용장비 및 소프트웨어 (PSpice Lite ver. / MATLAB) 오실로스코프
    리포트 | 8페이지 | 1,000원 | 등록일 2022.09.14 | 수정일 2023.01.03
  • 한글파일 광학실험2 변위측정 레포트
    빛의 간섭 현상과 마이켈슨 간섭계의 원리를 이해한다. ㈏ 오실로스코프작동법을 익힌다. ㈐. 마이켈슨 간섭계를 구성하고 간섭무늬를 이용하여 변위를 측정한다. ? ... 이러한 원리를 이용하여 변위를 측정할 수 있다, s그림A ? ... 실험 도구 He-Ne 레이저 광 분할기 미러x2 미러 마운트 x2 포스터 x4 포스트 홀더 x4 포토 디텍터 스테이지 PZT 컨트롤러 오실로스코프 ?
    리포트 | 5페이지 | 1,000원 | 등록일 2019.11.07
  • 한글파일 8장 소신호 베이스 공통 교류증폭기 실험
    토의 이번 실험은 베이스 공통 교류증폭기의 등가회로를 해석하고 작동원리에 대하여 알아보는 실험이었다. 또한 전압이득과 입력 임피던스, 전류이득도 계산할 수 있다. ... 실험원리 베이스 공통 교류증폭기는 교류증폭기 구성방법 중 가장 낮은 임피던스와 가장 높은 임피던스 범위를 가진다. ... 실험기기 및 부품 트랜지스터 저항100Ω, 1kΩ, 2.2kΩ, 10kΩ, 12kΩ, 56kΩ, 1MΩ 커패시터 1μF, 100μF 오실로스코프 직류전원공급기 디지털 멀티미터 신호발생기
    리포트 | 8페이지 | 1,000원 | 등록일 2020.12.19
  • 워드파일 기초 회로 및 트랜지스터의 기초
    BJT의 기본 작동원리를 3-wire 측정법을 이용하여 복습한다, 실험 이론 기초회로 테브냉의 정리 (Thevenin’s Theorem) 테브냉의 정리는 선형 전기회로에서 두개의 단자를 ... (oscilloscope) 이 실험은 오실로스코프와 함수발생기의 사용법을 익히고, 기본 회로를 이해하는 실험이었다. ... 실험 결과 함수발생기와 오실로스코프(oscilloscope) 전압 측정 함수발생기에서 발생한 전압: 504mV 측정값(mV) 이론값(mV) 오차율(%) R1 184 168 9.52
    리포트 | 14페이지 | 2,000원 | 등록일 2022.03.03
  • 한글파일 기초전자실험 결과 보고서 - 미분회로와 적분회로
    실험 원리 입력파형을 미분하여 출력하는 회로를 미분회로(Differential Circuit)라 하고, 반대로 입력파형을 적분하여 출력하는 회로를 적분회로(Integration Circuit ... 그런데 A-G 사이에 부하 (인두기, 30W)를 연결하니 A-G 전압은 220V로 계속 유지되었고 인두기가 작동하였다. 이 현상은 어떻게 해석하여야 할까? ... 그런데 A-G 사이에 부하 (인두기, 30W)를 연결하니 A-G 전압은 125V로 계속 유지되었고 인두기가 작동하였다. 이 현상은 어떻게 해석하여야 할까?
    리포트 | 13페이지 | 1,500원 | 등록일 2020.01.21
  • 워드파일 TIMER 기초 결과보고서
    개요 아두이노에서 지원하는 타이머 기능과 관련된 기초적인 실험을 해보고 작동 원리를 이해한 후 멀티태스킹을 위한 용용 방법에 관해 학습한다. 2. ... 실험 기기 랩톱 PC, 아두이노 우노 보드, 브래드보드, 전선, 저항, 스위치, LED, 오실로스코프 3. ... 오실로스코프에 LED의 pulldown저항과 LED의 OUTPUT칸을 연결하면 위와 같은 파형을 확인 할 수 있다.
    리포트 | 8페이지 | 1,000원 | 등록일 2021.09.25
  • 한글파일 [A+] 중앙대 아날로그 및 디지털 회로설계실습 초전형(pyroelectric) 적외선 센서 예비보고서
    위 회로도의 동작 원리를 설명하면, 1. 센서에서 온도의 변화를 감지하여 신호가 발생한다. 2. ... (Oscilloscope) 1개 브레드보드 (Bread board) 1개 파워서플라이 (Power supply) 1개 함수발생기 (Function generator) 1개 점퍼선 ... LED 1개 커패시터 10nF, Ceramic disk 1개 커패시터 10uF 2개 저항 100kΩ, 1/2W, 5% 1개 가변저항 10kΩ 2개 가변저항 1MΩ 2개 사용 장비 오실로스코프
    리포트 | 4페이지 | 1,000원 | 등록일 2021.09.01
  • 한글파일 물리화학실험-전압측정 결과보고서(Electrical Signal Measurement Techniques)
    이해 및 작동 방법을 습득하고 저항을 읽고 구별하는 법을 익한다. ... 이번 실험은 물리량 측정의 기본이 되는 전압 측정을 통해 전원과 전압계의 역할 및 전체 회로 구성 그리고 입력저항과 출력저항의 개념을 이해하고, 이 과정에서 전압측정기기인 오기기의 원리를 ... 전원(건전지)과 전압계사이에 연결한 저항의 값이 작아질수록 입력저항(Zin)의지보다 입력저항이 크고, 오실로스코프보다 DVM이 입력저항이 크게 측정된다. 2) 정확한 전압의 측정을
    리포트 | 3페이지 | 1,000원 | 등록일 2022.03.06
  • 워드파일 [A+] 중앙대학교 아날로그및디지털회로설계실습 9차 예비보고서
    아래는 작동 원리이다. ... (Oscilloscope) 브레드보드 (Bread board) 파워서플라이 (Power supply) 함수발생기 (Function generator) 점퍼선 1대 1개 1대 1대 ... 74HC04 NAND gate 74HC00 NOR gate 74HC02 XOR gate 74HC86 LED switch 10개 5개 5개 4개 5개 5개 2개 10개 10개 사용장비 오실로스코프
    리포트 | 8페이지 | 1,000원 | 등록일 2024.02.17
  • 워드파일 "Labview Programming 실습2 결과보고서"
    오실로스코프작동원리는 vertical input 단자에 가해진 입력 전압은 vertical amplifier에서 증폭되어 음극관의 수직 편향판에 전달이 된다. ... 오실로스코프 오실로스코프(파형측정기)는 전압을 시간의 함수로 표현하는 장치이다. ... 실험 결과 [신호 시뮬레이션]으로 생성한 사각파형은 DAQ를 통해 오실로스코프로 전달되어 웨이브폼 차트와 오실로스코프로 동일한 파형을 확인할 수 있었다.
    리포트 | 12페이지 | 1,000원 | 등록일 2021.02.03
  • 한글파일 단현의 진동수 측정 실험 보고서
    실험원리 그림 15-1과 같이 고정자로 현의 양쪽 끝을 고정하여 전자석으로 임의의 한쪽을 진동시키면 현을 따라 다른 쪽 끝에 부딪혀 반사되어 같은 진동수와 같은 진폭을 가진 파동이 ... 양쪽 끝을 고정시키고 한쪽에는 driver coil 전자석을 다른 한쪽에는 detecter coil 전자석과 그쪽 끝에는 임의의 추(m)을 올려놓고 기록한다. (2) 전원을 켜서 작동시킨 ... 실험을 할 때, 보통의 저의 관점에서는 오실로스코프에서 나온 진동수의 값이 현의 진동수의 값과 비슷하게 나와야만 하는 것이 아닐까라고 생각했는데, 오실로스코프에는 166.7Hz의 진동수가
    리포트 | 4페이지 | 2,000원 | 등록일 2019.11.04 | 수정일 2021.05.18
  • 한글파일 [일반물리학실험]파동의 중첩
    Oscilloscope 사용 시 필요한 단자 외에는 손을 대지 않는다. 나. Oscilloscope를 켜기 전 모든 단자들이 올바르게 되어있는지 상태를 확인한 후작동한다. 다. ... 실험 장치 1) 오실로스코프(Oscilloscope) 시간에 따른 입력전압의 변화를 화면에 출력하는 장치. 전기진동이나 펄스처럼 시간적 변화가 빠른 신호를 관측한다. ... 파동의 중첩 원리와 리샤주 (Lissajous) 도형을 관찰하고, 이를 이해한다. 2. 실험 이론 및 원리 가.
    리포트 | 10페이지 | 3,600원 | 등록일 2020.10.25
  • 한글파일 전자회로설계실습 실습5(BJT와 MOSFET을 사용한 구동(switch) 회로) 결과보고서
    이번 설계실습에서는 주로 BJT와 MOSFET의 작동원리와 회로구조 등에 대하여 배울 수 있었으며 I _{E} =I _{B} +I _{C}가 성립하는지 알아보았고, beta =I _ ... (C) Function generator의 출력과 LED에 걸리는 파형을 동시에 오실로스코프에 나타나도록 하여 저장, 제출한다. ... (B)4.5V와 스위치 대신에 Function generator의 출력과 LED에 걸리는 파형을 동시에 오실로스코프에 나타나도록 하여 저장, 제출한다.
    리포트 | 8페이지 | 1,000원 | 등록일 2020.09.07 | 수정일 2020.09.15
  • 한글파일 논리게이트를 이용한 회로구성
    고찰 기본 논리 게이트인 AND, OR, 반전기를 활용하여 회로를 구성해보았으며 회로가 어떻게 작동하는지 알아보았다. ... 실습 장비 및 재료 - 전원 공급기, 함수 발생기, 멀티미터, 오실로스코프 등 - 고정저항 330 ohm 2개, LED 2개 - 논리 게이트 : 74LS04(반전기), 74LS08( ... 실험 과정 ① 논리 회로를 보고, 회로도 구성하기 그림 2. ② 회로도 분석하기 (동작 원리 설명) 그림 3. ③ 회로도를 보고, 회로 구성하기 ④ 전압 인가하여 LED 점등 관찰
    리포트 | 6페이지 | 2,500원 | 등록일 2020.11.19
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 06월 16일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:29 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기