• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(85)
  • 자기소개서(46)
  • 리포트(37)
  • 방송통신대(1)
  • 이력서(1)

"FPGA 게임" 검색결과 61-80 / 85건

  • 한글파일 2017상반기 CJ올리브네트웍스 시스템개발/자기소개서/자소서/CJ/대기업채용/CJ그룹
    얼마 전 포켓몬 GO라는 게임이 AR을 이용하여 세계적으로 히트를 낸 이슈가 있습니다. ... 졸업 작품으로는 1년 동안 진행했던 “FPGA와 라즈베리파이를 이용한 지능형 자동차” 프로젝트는 한이음 ICT 공모전에서 상도 수상하였고, 논문도 발표해보고, 특허 출원도 해보는 값진
    자기소개서 | 3페이지 | 4,500원 | 등록일 2017.04.04
  • 워드파일 포스텍 선박, 선원관리 시스템 개발 및 유지보수 직군 합격 자기소개서
    성장과정 룰 메이커 유소년기 때부터` 친구들과 어울릴 때면 언제 만나는지, 돈은 어떻게 분담할지 정했고, 게임을 할 때는 공평하도록 편을 짜고 룰을 만들었습니다. ... 학교생활 복학 후, 디지털 도어락을 FPGA를 이용하여 설계하는 텀 프로젝트를 진행했습니다. 하지만 HW를 제어하기 위한 SW구현이 서툴러서 구현할 수 없었습니다.
    자기소개서 | 2페이지 | 3,000원 | 등록일 2015.12.24
  • 한글파일 2016년 하반기 sk텔레콤 자기소개서
    다른 팀의 발표를 보니 정말 간단한 게임을 만들었지만 완벽하게 발표를 했습니다. ... 실패의 요인 중 하나는 주어진 교육용 FPGA 키트의 기능을 다 쓰려고 하다 보니 너무 복잡해지고 규모가 커졌습니다. 욕심이 너무 컸던 겁니다. ... 이 두 과목은 모두 VHDL로 FPGA를 설계하는 수업 이였습니다. 논리회로설계 수업 때 배우는 여러 가지 설계를 코딩으로 만든다는 게 신기하고 재밌었습니다.
    자기소개서 | 6페이지 | 5,000원 | 등록일 2016.10.17 | 수정일 2017.09.21
  • 워드파일 토비스 연구개발 회로 설계 필기 합격자소서 입니다. 합격당시 취업상태로 면접은 참여하지 않았습니다. 서류는 넣은 후 한참 뒤에 합격 연락 왔습니다.
    어려서부터 친구들과 컴퓨터 게임을 해도, 전략 시뮬레이션으로 경쟁할 때, 뛰어난 집중력으로 친구들을 이길 수 있었습니다. ... 현재 비록 FPGA를 다루지는 못하지만, 펌웨어 수업을 들으며 프로그래밍 실력을 열심히 키웠습니다. 이를 바탕으로 부족한 부분을 빠르게 보완하겠습니다.
    자기소개서 | 3페이지 | 3,000원 | 등록일 2017.03.02 | 수정일 2017.04.03
  • 한글파일 Xilinx VHDL을 활용한 슬롯머신 설계
    1. 과제의 필요성주어진 소프트웨어와 하드웨어로 가능한 창의적인 작품을 설계하는 능력과 VHDL코드 작성능력을 향상시키고 팀 프로젝트를 함으로써 역할 분담 및 자기가 할당 받은 일을 수행할 수 있는 능력을 키우고자 하는 것.제한 된 시간 안에 프로젝트를 완성함으로써 앞..
    리포트 | 25페이지 | 3,000원 | 등록일 2013.06.01 | 수정일 2019.01.02
  • 워드파일 Xilinx를 이용한 7-Segment 제어
    FPGA Module 회로도를 열고, FPGA_HEADER 페이지의 FND 부분을 참고하여 포트 번호를 정확하게 입력한다. 모든 포트에 핀 번호가 할당되었다. ... 이번에는 ARM Study Group의 FPGA 보드에 부착되어 있는 7-Segments를 제어할 것인데, 일정계하였다. ... 이번 Xilinx FPGA 기술 문서는 이전 기술 문서에 제작한 모듈(Name : FirstExam 소스 첨부) 0.5 초마다 7-Segments의 숫자를 증가 시키는 회로를 설계한다
    리포트 | 14페이지 | 1,000원 | 등록일 2010.04.10
  • 한글파일 13년도 하반기 하나금융그룹 신입사원 채용 서류 합격 자소서
    물론, 배경지식부터 FPGA칩의 역할과 software와의 적용까지 모든 것을 영어로 이해하고 혼자서 공부하려니, 보통 일이 아니었습니다. ... 배운 이론을 토대로 집중력 및 사고력을 향상시킬 수 있는 게임을 제작하였습니다. 저는 서버와 클라이언트를 구축하여 소켓을 만드는 담당을 맡았습니다.
    자기소개서 | 3페이지 | 3,000원 | 등록일 2014.06.08
  • 한글파일 2016 삼성전자 DS부문 메모리사업부 자기소개서
    이 두 과목은 모두 VHDL로 FPGA를 설계하는 수업 이였습니다. 논리회로설계 수업 때 배우는 여러 가지 설계를 코딩으로 만든다는 게 신기하고 재밌었습니다. ... 아무것도 모르고 수업만 들었던 때와 다르게 지금은 컴퓨터전공에 대해 깊게 알게 되었고 공부하다 보니 너무 적성에 맞고, 너무 재밌으며 컴퓨터 앞에서는 게임만 했던 제가 지금은 visual
    자기소개서 | 4페이지 | 5,000원 | 등록일 2016.05.16 | 수정일 2017.02.28
  • 한글파일 경희대학교 asic 프로젝트( 윷놀이 게임만들기 )
    ASIC PROJECT- 랜덤변수를 이용한 2인용 윷놀이 게임 -목 표 : 랜덤 변수를 이용하여 2인용 윷놀이를 만들어 보자.제작 도구 : quartus2 program and nios ... stop : 유저 1이 윷을 던지는 버튼(nios board의 t9에 pin assign)p2_stop : 유저 2이 윷을 던지는 버튼(nios board의 y9에 pin assign)게임
    리포트 | 11페이지 | 5,000원 | 등록일 2007.01.18
  • 한글파일 반도체 공학 개론 HW#2
    Gate Array의 칩 개발 방식에 대해 설명하고, FPGA와 차이점을 비교하라. 5. RAM과 ROM의 동작원리를 비교하라. 6. ... 종래의 비디오 게임기에 DVD등 첨단 기능과 인터넷 기능을 접목시킨 차세대 비디오 게임기 인터넷 스크린폰 VoIP 방식의 인터넷 폰 서비스를 하드웨어화 하여 무료 인터넷 전화, 인터넷 ... 10인치 정도의 LCD스크린, 스피커, 내장 모뎀 등을 갖춘 노트북 크기의 정보기기 인터넷 TV 컴퓨터 대신 TV를 디스플레이 장치로 인터넷 서비스를 이용하는 가정용 기기 인터넷 게임
    리포트 | 12페이지 | 2,500원 | 등록일 2011.06.12
  • 한글파일 # IC칩의 분류와 특징
    , 디램과 달리 전원이 끊기더라도 저장된 정보를 그대로 보존할 수 있을 뿐 아니라 정보의 입출력도 자유로워 디지털텔레비전·디지털캠코더·휴대전화·디지털카메라·개인휴대단말기(PDA)·게임기 ... FPGA(Field Programmable Gate Array)FPGA도 ASIC와 마찬가지로 기존의 D램이나 CPU 등 대량생산되는 반도체와 달리 제조업체가 아닌 구매자가 자신의 ... 논리 회로를 FPGA 소자에 입력하여 바뀐 논리 회로를 작동하도록 할 수 있다.
    리포트 | 14페이지 | 2,500원 | 등록일 2008.03.26
  • 한글파일 13년도 하반기 삼성그룹 신입사원 채용 서류 합격 자소서
    배운 이론을 토대로 집중력 및 사고력을 향상시킬 수 있는 게임을 제작하였습니다. ... 물론, 배경지식부터 FPGA칩의 역할과 software와의 적용까지 모든 것을 영어로 이해하고 혼자서 공부하려니, 보통 일이 아니었습니다.
    자기소개서 | 4페이지 | 3,000원 | 등록일 2014.06.08
  • 파워포인트파일 임베디드 시스템
    Home Things that Think Wearable Computers PC 인터넷 냉장고 노트북 디지털TV 정보가전 휴대전화 Internet Car 전자페이퍼 셋탑박스 비디오게임 ... 마이크로프로세서, 메모리, 운영체제, 응용 프로그램 등으로 구성 앞으로는 다양한 단말기기가 하나의 기기로 통합될 것으로 예상됨 핸드폰, PDA, 스마트 폰, MP3 플레이어, PMP, 게임기기 ... 인퍼페이싱 Field Protocol Engineering 분산제어 미들웨어 홈 오토메이션 통합 미들웨어 ARM 프로세서 Boot Loader 임베디드 자바 임베디드 FS VHDL, FPGA설계
    리포트 | 26페이지 | 1,500원 | 등록일 2008.12.23
  • 파일확장자 [전자]vhdl로만든 fpga보드 도트메트릭스
    library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity test5 isport( clk, rst : in std_logic;dot_out1: out std_logic_vector(4..
    리포트 | 6페이지 | 1,000원 | 등록일 2006.04.27
  • 한글파일 [합격자소서] 이테크건설(플랜트-전기) 대졸 신입사원 공채 최종합격자 자기소개서 유출 / 이테크건설 채용 / 이테크건설 합격방법 / 이테크건설자소서 / ETEC E&C
    그리고 연습게임마다 빠짐없이 참여 했으며 처음 담당하는 골키퍼를 수행하기 위해 자세 교정부터 순간순간 상황 대처 방법을 숙지하기 위해 선배들의 조언을 받아 연습하곤 했습니다. ... 또한, 이러한 도전정신으로 RF회로설계, FPGA기반의 임베디드 로봇 설계 등 전공프로젝트를 수행할 때마다 남들과 차별화된 생각으로 프로젝트를 시도함으로써 좋은 성적을 받을 수 있었습니다
    자기소개서 | 6페이지 | 3,000원 | 등록일 2013.02.07
  • 한글파일 Ping-pong(핑퐁) Machine 설계코드및 분석
    그 반대 경우도 동일하다. state '11' 에서는 signal win에 의해 왼쪽 또는 오른쪽 사람의 점수가 1점 올라가게 되며, 각각 9점이 되었을 경우 게임의 종료를 나타내는 ... 또한 0.2초의 분주를 나타내기 위한 signal인 shift_clk, led의 내부적 연산을 하기 위한 led_reg, game의 승리를 나타내는 win, game의 종료를 의미하는 ... 우선 ping pong game은 기본적으로 reset switch에 의해 초기화가 되어야 한다.
    리포트 | 14페이지 | 2,000원 | 등록일 2007.11.16
  • 파워포인트파일 ETRI의 기술사업화
    ETRI 기술사업화 사례6(대기업) -금호전기 ..PAGE:35 -PC 여러 대에서 수 천, 수 만 명의 가상 테스터들을 생성 -사람이 직접 게임을 플레이하는 것과 동일한 게임플레이 ... 시스템을 위한 LTE eMBMS 클라이언트 및 서버 기술 40,000 - - 2.5 - - 3,000 2 4 2.5Gbs WDM/TDMA 하이브리드 PON Reach Extender용 FPGA
    리포트 | 39페이지 | 2,000원 | 등록일 2010.07.01
  • 한글파일 교사 자기소개서 전기.전자.통신 교사관련(합격서류)
    지금은 컴퓨터 역사책에서나 볼 수 있는 286컴퓨터를 가지게 된 후 저는 항상 컴퓨터가 어떻게 동작하는지, 프로그램(주로 게임)이 어떻게 만들어지는지, ‘DOS’ 사용방법 등 호기심을 ... 교내 전공 학술제에서도 ‘FPGA’를 이용하여 ‘엘리베이터’, ‘라인트레이서’, ‘무선마이크’ 등 여러 프로젝트 작품을 선보였습니다. ... 이러한 경험에서 더 나아가 도내에서 진행하는 ‘BK21 학술제’에도 ‘FPGA’와 ‘MCU’를 이용하여 ‘자동화분 분무기’라는 주제로 동아리 프로젝트를 출품하였고, 누리사업아래 진행된
    자기소개서 | 2페이지 | 3,000원 | 등록일 2009.06.02
  • 한글파일 [임베디드시스템]리눅스 기반에서 C언어로 프로그래밍한 슬롯 머신
    Exit Game");lcd_chw(s);switch case문을 이용하여 게임 실행시 초기 start 화면에서 메뉴 선택하는 부분을 구현하였다.rand 함수 : i = (int)( ... Start Game");lcd_chw(s);lcd_position(0x54);sprintf(s, "%s", "3. ... 불법 사행성 게임이 사회에 물의를일이키기도 했었다. 하지만 이는 게임 자체에 문제가 있는 것이 아니고 게임의 운영에 문제가 있는 것이라고 할 수 있다.
    리포트 | 12페이지 | 1,000원 | 등록일 2008.05.24
  • 워드파일 LG전자 MC SW 합격 자소서입니다.
    디지털 회로에 대한 이해와 VHDL언어 습득 - 이를 이용하여 Altera FPGA+ARM926 보드 상에서 'UART 통신을 통한 디지털 도어락'이라는 프로젝트도 진행하였습니다. ... Compile 환경 경험 - PXA-255 보드를 기반으로 LED, CLCD, TFT LCD, MOTOR, BUTTON 각 Device Driver를 이용한 모듈을 구현하여 '샤프심 뽑기' 게임
    자기소개서 | 6페이지 | 3,000원 | 등록일 2012.11.01
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 06월 17일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:58 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기