• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(85)
  • 자기소개서(46)
  • 리포트(37)
  • 방송통신대(1)
  • 이력서(1)

"FPGA 게임" 검색결과 21-40 / 85건

  • 한글파일 Dotmatrix를 활용한 지렁이 게임 프로젝트
    = addr_fpga + KEY_COL_OFFSET/sizeof(unsigned short); keypad_row_addr = addr_fpga + KEY_ROW_OFFSET/sizeof ... = addr_fpga + DOT_ROW_OFFSET / sizeof(unsigned short); dot_col_addr = addr_fpga + DOT_COL_OFFSET / sizeof ... Dotmatrix를 활용한 지렁이 게임은 쉽고, 간단하게 누구나 즐길 수 있는 게임이지만 알고리즘은 꽤 복잡하게 이루어져있다.
    리포트 | 21페이지 | 1,000원 | 등록일 2014.12.04
  • 파일확장자 VHDL을 이용한 리듬게임
    시작해야 2p가 플레이 가능합니다.게임 시작 후 2p를 선택하면 2p가 작동하지 않습니다.진행중이던 게임이 끝난 후 다시 게임을 시작하면 2p가 함께 작동합니다.2번 키트의 Bus ... 지장이 없습니다.게임이 끝나면 점수는 리셋되고 motor가 정지합니다.리셋 버튼을 누르면 게임이 초기화 됩니다. ... 시작합니다.게임이 시작되면 step motor가 회전합니다. motor 회전 후 약 5초 뒤 dot matrix에 리듬 게임 노트가 내려옵니다.노트가 가장 밑으로 내려왔을때 키보드의
    리포트 | 4,000원 | 등록일 2016.04.12 | 수정일 2016.05.17
  • 파워포인트파일 verilog HDL을 이용한 LED주사위 설계 피피티 (자판기 or 신호등)
    PLA, PAL, FPGA FPGA (Field-programmable gate array) 사용자가 독자적인 논리 회로를 구성할 수 있는 Gate Array 의 일종 SRAM 식 ... 주제를 고민 하던 중 흔히 우리 주위에서도 많이 볼 수 있으며 간단한 동작원리로 LED 연결을 할 수 있는 주사위로 주제를 선정하여 주사위를 던져서 주사위 눈의 개수로 승패를 나누는 게임에 ... PLD(FPGA) PLD (Programmable Logic Device) 제조 후 사용자가 내부 논리 회로 의 구조를 변경할 수 있는 집적회로 즉 , 프로그램이 가능한 논리 소자
    리포트 | 14페이지 | 1,500원 | 등록일 2013.07.16
  • 한글파일 FPGA(PROM, PAL, PLA, FPGA)
    주로 비디오 게임기나 전자사전 등에 이용된다. 1-2. PROM의 구성 : N개의 input, N * 2ⁿ decoder, OR Array, M개의 output 2. ... 대부분의 FPGA는 프로그래밍가능 논리 요소 (FPGA 식으로는 논리 블록이라고도 함)에 간단한 플립플롭이나 더 완벽한 메모리 블록으로 된 메모리 요소를 포함하고 있다. ... 응용회로는 적합한 자원을 가지는 FPGA를 반드시 매핑해야한다.
    리포트 | 2페이지 | 1,000원 | 등록일 2013.03.29 | 수정일 2015.09.30
  • 파일확장자 [임베디드하드웨어]Xillinx및 VHDL기반의 숫자야구게임
    임베디드하드웨어 시간에 했던 Xillinx툴 및 VHDL기반의 코딩언어를 이용한 숫자야구게임최종보고서 및 프로그램소스코드 및 발표자료 전부 포함입니다.
    리포트 | 3,000원 | 등록일 2016.10.29 | 수정일 2016.11.01
  • 한글파일 FPGA HBE-COMBO, VHDL를 이용한 CARGAME 최종보고서
    디지털 시스템 VHDL을 이용한 CAR_GAME 설계 1. 제작동기 ▶ FPGA를 이용한 HBE - COMBO 시리즈로 무엇을 할 수 있을까? ... CAR GAME를 제작하게 되었습니다. 2. ... 자동차 게임을 하기 위해서 무엇이 보여야 게임을 진행할 것인데, 화면에 서킷이 출력됨으로서 게임의 진행을 할 수 있게 됩니다.
    리포트 | 111페이지 | 1,000원 | 등록일 2010.06.16 | 수정일 2017.07.03
  • 한글파일 마이다스IT 자기소개서
    간단하게 게임도 만들어 보았지만, 무엇보다 알고리즘 공부를 많이 해보는 것이 프로그래밍에 있어서는 중요한 역량이라 ... 간단하게 게임도 만들어 보았지만, 무엇보다 알고리즘 공부를 많이 해보는 것이 프로그래밍에 있어서는 중요한 역량이라고 생각합니다. ... 간단하게 게임도 만들어 보았지만, 무엇보다 알고리즘 공부를 많이 해보는 것이 프로그래밍에 있어서는 중요한 역량이라고 생각합니다.
    자기소개서 | 4페이지 | 8,000원 | 등록일 2018.03.29
  • 한글파일 verilog HDL을 이용한 LED주사위 설계 보고서 (자판기 or 신호등)
    및 상황 요약 - 흔히 우리 주위에서도 많이 볼 수 있으며 간단한 동작원리로 LED연결을 할 수 있는 주사위로 주제를 선정하여 주사위를 던져서 주사위 눈의 개수로 승패를 나누는 게임에 ... 처음 접했던 velilog HDL을 사용하는데에 익숙해지게 되었으며, 지금껏 해보지 못했던 프로젝트를 경험하면서 그동안 알지 못하거나(FPGA/PLD), 배운 것(D-FF와 Xillinx
    리포트 | 7페이지 | 1,500원 | 등록일 2013.07.16
  • 한글파일 2018 포스코ICT 인턴, 자기소개서, 자소서, 솔루션 설계 및 SW개발
    다른 팀의 발표를 보니 정말 간단한 게임을 만들었지만 완벽하게 발표를 했습니다. 저는 미완성인체 발표를 하였고, 교수님은 미완성에 대해 지적을 하셨습니다. ... ‘FPGA와 라즈베리파이를 이용한 지능형 자동차’라는 타이틀을 가지고 한이음ICT공모전에 참가하였습니다. ... 처음에는 영상처리를 해서 멋지게 구현을 하고 싶었지만, FPGA 개발부분에서 어려움이 많아 도와주다보니 이미지처리에서 끝나게 되었습니다.
    자기소개서 | 3페이지 | 6,000원 | 등록일 2018.05.17
  • 워드파일 근골격계 측정 업체 검토
    마이크로 소프트의 키넥트 카메라를 이용해 게임만 할 게 아니다. 의료, 교육 등에 기술을 개방했지만 생각만큼 어플리케이션이 나오지 않고 있다. 동작 인식 기술은 있다. ... 쏘고, 받고, 보내고(FPGA), 모으고(합성), 메우는(출력) 식이다. (2) 두 번째 방식은 별도 센서를 탑재한다. 스마트폰 회사에 구사하는 방식이다. .
    리포트 | 3페이지 | 1,000원 | 등록일 2018.12.21
  • 한글파일 2018 CJ올리브네트웍스 소프트웨어개발, 자소서, 자기소개서
    그 다음에는 c언어를 통해 간단한 게임을 만들어보았고, 더 나아가 IOT에 관한 프로젝트, 지능형 자동차에 대한 프로젝트 등 다양한 언어를 통해 개발자의 꿈을 키우게 되어 소프트웨어 ... 졸업 작품으로는 1년 동안 진행했던 “FPGA와 라즈베리파이를 이용한 지능형 자동차” 프로젝트는 한이음 ICT 공모전에서 상도 수상하였고, 논문도 발표해보고, 특허 출원도 해보는 값진 ... 사례에서 본인이 스스로 끈기를 가지고 노력한 과정과 결과 ③ 이를 통해 입사 후 기여할 수 있는 점은 무엇인지에 대하여 작성해 주세요. (1500자) ① 3학년 때, VHDL로 FPGA
    자기소개서 | 2페이지 | 5,000원 | 등록일 2018.05.17
  • 한글파일 2018 LG CNS 자소서, 자기소개서, SW개발직
    C언어를 이용하여 ATmega128로 도어락도 만들어 보았고, 간단한 콘솔게임도 만들어 보았습니다. 아두이노를 이용한 ‘IOT 스마트 홈 시스템’이라는 프로젝트도 진행했었습니다. ... 데이터베이스시스템 수업에서 mysql을 다뤄보았고, 임베디드시스템 수업에서는 FPGA를 이용하여 VHDL 프로그래밍언어로 프로젝트도 진행하였습니다. ... 수업뿐만 아니라 다양한 프로젝트를 통해 스스로 배워나갔으며, 2016년에는 한이음ICT공모전에 참가하였습니다. 1년 가까이 멘토링 활동을 하면서 개발하였고, ‘FPGA와 라즈베리파이를
    자기소개서 | 2페이지 | 6,000원 | 등록일 2018.05.17
  • 한글파일 2017 하반기 신한은행 IT 자기소개서
    다른 팀의 발표를 보니 정말 간단한 게임을 만들었지만 완벽하게 발표를 했습니다. 저희 팀은 미완성인체 발표를 하였고, 교수님은 미완성에 대해 지적을 하셨습니다. ... VHDL로 FPGA를 설계하는 수업 이였는데 논리회로설계 수업 때 배우는 이론을 코딩으로 만드는 프로젝트 수업이였습니다. ... 실패의 요인 중 하나는 주어진 교육용 FPGA 키트의 기능을 다 쓰려고 하다 보니 너무 복잡해지고 규모가 커졌습니다. 욕심이 너무 컸던 겁니다.
    자기소개서 | 3페이지 | 5,000원 | 등록일 2017.10.23 | 수정일 2018.05.18
  • 한글파일 2017 하반기 KT IT SW개발 자소서, 자기소개서
    다른 팀의 발표를 보니 정말 간단한 게임을 만들었지만 완벽하게 발표를 했습니다. 저희 팀은 평가가 아닌 배움의 욕심에 의해 끝까지 도전하였습니다. ... 실패의 요인 중 하나는 주어진 교육용 FPGA 키트의 기능을 다 쓰려고 하다 보니 너무 복잡해지고 규모가 커졌습니다. ... (최대 700자 입력가능) (1400byte) [끝이 어딘지를 확인하다.] 3학년 때, VHDL로 FPGA를 설계하는 수업인 임베디드 시스템을 수강하였습니다.
    자기소개서 | 3페이지 | 4,000원 | 등록일 2017.10.23 | 수정일 2018.05.18
  • 한글파일 2017 하반기 동부그룹 인프라 엔지니어 자소서, 자기소개서
    다른 팀의 발표를 보니 정말 간단한 게임을 만들었지만 완벽하게 발표를 했습니다. 저희 팀은 평가가 아닌 배움의 욕심에 의해 끝까지 도전하였습니다. ... 실패의 요인 중 하나는 주어진 교육용 FPGA 키트의 기능을 다 쓰려고 하다 보니 너무 복잡해지고 난이도가 커졌습니다. ... 이제까지 가장 어려웠던 위기와 이를 극복하기 위해 했던 행동과 결과에 대해 구체적으로 기술하십시오 (1200byte) 3학년 때, VHDL로 FPGA를 설계하는 수업인 임베디드 시스템을
    자기소개서 | 2페이지 | 4,000원 | 등록일 2017.10.23 | 수정일 2018.05.18
  • 파일확장자 VHDL을 TFT LCD와 함께 이용한 스도쿠게임 만들기
    FPGA Quartus8.1 버전을 이용한 DE2 보드 VHDL 파일입니다.
    리포트 | 4,000원 | 등록일 2016.04.13 | 수정일 2016.04.15
  • 한글파일 2017 하반기 포스코 ICT 채용형인턴
    다른 팀의 발표를 보니 정말 간단한 게임을 만들었지만 완벽하게 발표를 했습니다. 저는 미완성인체 발표를 하였고, 교수님은 미완성에 대해 지적을 하셨습니다. ... ‘FPGA와 라즈베리파이를 이용한 지능형 자동차’라는 타이틀을 가지고 한이음ICT공모전에 참가하였습니다. ... 처음에는 영상처리를 해서 멋지게 구현을 하고 싶었지만, FPGA 개발부분에서 어려움이 많아 도와주다보니 이미지처리에서 끝나게 되었습니다.
    자기소개서 | 3페이지 | 4,000원 | 등록일 2017.10.23
  • 한글파일 SK하이닉스 IT직무 자소서, 자기소개서
    간단한 게임을 만드는 것보다 알고리즘을 구현하여 ATM기기를 만드는 것이 더 수준이 높고 충분히 가능할거라고 생각했습니다. ... 다른 팀의 발표를 보니 정말 간단한 게임을 만들었지만 완벽하게 발표를 했습니을 하셨습니다. 전공에 자신이 있었고, 재밌어했지만 프로젝트 실패로 슬럼프가 온 것입니다. ... 실패의 요인 중 하나는 주어진 교육용 FPGA 키트의 기능을 다 쓰려고 하다 보니 너무 복잡해지고 규모가 커졌습니다. 욕심이 너무 컸던 겁니다.
    자기소개서 | 4페이지 | 6,000원 | 등록일 2017.10.23 | 수정일 2018.05.18
  • 한글파일 2017 우리은행 IT직 자소서, 자기소개서
    다른 팀의 발표를 보니 정말 간단한 게임을 만들었지만 완벽하게 발표를 했습니다. 저희 팀은 평가가 아닌 배움의 욕심에 의해 끝까지 도전하였습니다. ... 실패의 요인 중 하나는 주어진 교육용 FPGA 키트의 기능을 다 쓰려고 하다 보니 너무 복잡해지고 난이도가 커졌습니다. ... 작품 기능으로는 FPGA보드는 자동차 제어부가 되어 초음파 센서와 적외선 센서, 모터 제어를 담당하고, 라즈베리파이는 카메라를 통해 이미지처리와 어플리케이션과 통신합니다.
    자기소개서 | 3페이지 | 5,000원 | 등록일 2017.10.23 | 수정일 2018.05.18
  • 한글파일 2017 상반기 포스코ICT 채용형인턴 연구개발 자기소개서
    이론을 배우는 것도 중요하지간단하게 게임도 만들어 보았지만, 무엇보다 알고리즘 공부를 많이 해보는 것이 프로그래밍에 있어서는 중요한 역량이라고 생각합니다. ... 다른 팀의 발표를 보니 정말 간단한 게임을 만들었지만 완벽하게 발표를 했습니다. 저는 미완성인체 발표를 하였고, 교수님은 미완성에 대해 지적을 하셨습니다. ... 처음에는 영상처리를 해서 멋지게 구현을 하고 싶었지만, FPGA 개발부분에서 어려움이 많아 도와주다보니 이미지처리에서 끝나게 되었습니다.
    자기소개서 | 7페이지 | 5,000원 | 등록일 2017.02.10 | 수정일 2017.03.01
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 06월 17일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:09 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기