• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

7-segment를 이용한 숫자 출력 프로젝트 최종 보고서

*윤*
최초 등록일
2013.12.22
최종 저작일
2011.09
12페이지/한글파일 한컴오피스
가격 2,000원 할인쿠폰받기
다운로드
장바구니

목차

1. 서론
1.1 7segment의 종류
1.2 7-Segment의 제어
1.3 사용 소자 DATA SHEET

2. 회로 설계
2.1 동작 방식의 결정
2.2 7-Segment 제어부
2.3 스위치 부
2.4 전체 회로

3. 회로 Simulation

4. 회로 구현

5. 결론 및 고찰

본문내용

7-segment를 이용하여 0~9까지 표현되는 논리 회로를 설계 하였다. binary 스위치를 이용하여 0~9까지의 값을 각각 0000~1001로 입력하였고, 7-segment에서 출력이 표현되도록 회로를 설계하여 7-segment에 0~9까지의 숫자가 표현 되는 것을 확인하였다.

1. 서론

7segment 표시기라고 하는 소자는 0에서 9까지의 숫자를 표시하기 위해 만들어진 소자로 그림과 같은 모양을 가지고 있다. 그림에서 알 수 있듯이 7세그먼트 표시기는 막대모양의 LED(Light Emitted Diode) 7개를 8자 모양으로 배열시키고 각 LED에 불을 켜거나 끔으로서 10진 숫자를 표시하도록 되어 있다. 여기서 막대 모양의 LED 하나하나를 세그먼트(segment)라고 하며, LED가 7개 사용됨으로 7세그먼트 표시기라고 이름이 붙여졌다. 이는 우리 실생활에 널리 사용되고 있어 흔히 볼 수 있다.

<중 략>

실험에 사용된 7-Segment는 애노드 타입으로 입력 신호가 0이 되면 출력이 on이 되는 특성을 가진다. 회로를 동작하게 하기 이전에 입력 신호를 주는 방법으로 크게 세 가지 방법이 있다. 먼저 555카운터 회로를 장착하여 0~9까지의 숫자가 자동으로 카운팅 되도록 하는 방법, 둘째로 jk flip-flop을 이용하여 BCD 10진 카운터를 설계하여 0~9까지의 숫자가 자동으로 카운팅 되도록 하는 방법, 마지막으로 각각 입력을 binary switch를 이용하여 0~9에 해당하는 숫자의 2진수 값을 직접 입력 해주는 방법이다. 처음 우리 조에서 선택한 방법은 BCD 10진 카운터를 이용하는 방법이었으나, 납땜을 하는 과정에서 기판이 협소하여 스위치 방식으로 동작하는 방향으로 전환 했다.

참고 자료

없음
*윤*
판매자 유형Bronze개인

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • 한글파일 12. Stopwatch 설계 결과보고서 [2021년도 아날로그 및 디지털 회로 설계 실습 A+ 자료] 6페이지
    또한 이론에 언급한 대로 decoder의 출력 방식과 7-segment LED의 ... (C) 전원을 인가하고 7-segment LED에 표시되는 숫자가 0, 1 ... 아날로그 및 디지털 회로 설계 실습 -실습 12 결과보고서- Stopwatch
  • 한글파일 (A+자료) 디지털실험 텀프로젝트 PvP 두더지잡기 게임 구현 작품 20페이지
    맞게 누르게되면 최종 OR Gate에서 출력이 0에서 1로 바뀌어 7-segment를 ... 6 / 15 최종보고서 6 / 7 6 / 15 결론 및 고찰 전체 회로를 ... 수 있다. 7-segment 진리표7-segment 타입 NE555 Timer
  • 한글파일 서울시립대 전자전기설계2(전전설2) 8주차 결과보고서 15페이지
    특히 7-segment출력으느 디코더의 개념을 이용해 사전에 정의하여 ... 2019년 전자전기컴퓨터설계실험2 8주차 실험보고서 0. ... 7-segment숫자 뒤에 작게 점이 있는 코드이다.
  • 한글파일 디지털논리회로 텀프로젝트 7페이지
    작성, 재료구매, 이론 및 자료조사, 최종 보고서 작성, 전체 예산관리 7 ... 및 최종 보고서 최종 ppt작성, 작품제작 발표 이론 및 자료조사, 제안서 ... 7segment의 입력으로 들어간다. 7segment는 7개의 LED를
  • 한글파일 1조 임베디드 결과보고서 최종 13페이지
    2014학년도 1학기 Project 최종보고서 Title of Project ... 이를 성실히 수행하기 위해 본 최종 보고서를 제출합니다. 2014년 6월 ... 디바이스들을 제어해봅니다. (2) 디바이스 활용 Character LCD, segment
더보기
최근 본 자료더보기
탑툰 이벤트
7-segment를 이용한 숫자 출력 프로젝트 최종 보고서
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업