• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(377)
  • 리포트(357)
  • 시험자료(12)
  • 논문(5)
  • 방송통신대(2)
  • 서식(1)

"ci와 bi 차이" 검색결과 61-80 / 377건

  • 한글파일 집중열용량법 실험 (동국대)
    그리고 hp bench link data logger을 실행한다. c. ... 이 두 선이 차이점을 보이는 이유는 아무래도 물리적으로 실험을 진행하다 보니 여러 가지 외부적 손실과 이론에서는 Bi값이 0.1보다 작으면 무시할 수 있다고 보는데 하지만 실제로는 ... T(t) = exp(-( {6*0.00375} over {2702*15.625*10 ^{-6}} TIMES t)*(t _{0} -t _{i} ))+t _{i} 을 구할 수 있었다.
    리포트 | 7페이지 | 2,000원 | 등록일 2014.10.21
  • 한글파일 디지털 로직 실험 수체계
    이진코드화된 십진수, 말 그대로 십진수를 이진코드로 표기한 것이다. 2진코드로 표기는 했지만 실제 십진수에 대응되는 2진수의 값과 BCD는 약간의 차이가 있다. ... 기타 실험고찰 I-CIRCUIT 프로그램을 이용한 DM74LS47 디코더의 논리회로 이번 실험은 결선과 실험값 자체을 도출하는 과정은 크게 어렵지 않았다. ... C 입력용 LED 개방 C입력을 개방시키면, 디스플레이는 항상 0이 출력된다.
    리포트 | 7페이지 | 1,000원 | 등록일 2015.07.20
  • 한글파일 Tube-in-tube 열교환기설계 Term project
    Tco Cal LMTD, U i = 0 i = i + 1 Sum (? ... -NTU와 LMTD의 차이는 그다지 크지 않으나, ? -NTU방법이 Total Length에서 0.60m 더 크게 나왔습니다. ... 각 변수비 Fig. 5-2 증감에 따른 L에 영향을 미치는 인자들의 곱의 변화 DELTA L`= {Q[i]} over {U[i]· DELTA T _{lm} [i]· PI ·D _{vi
    리포트 | 33페이지 | 4,000원 | 등록일 2013.12.17
  • 한글파일 논리회로실험) 가산기 및 감산기 예비보고서
    ci C`=xy`+`xci`+`yci`=`(x``` OPLUS y`)ci`+`ab Input Output x y Cin Cout S 0 0 0 0 0 0 0 1 0 1 0 1 0 ... Input 은 반가산기에 ci가 더해지고, Output은 동일하다. ci 는 Cin을 의미한다. - 전가산기를 논리식과 진리표로 나타내면 다음과 같다. ... 두 입력간의 차이를 구하는 논리회로라면, 전감산기는 그에 덧붙여져 윗자리부터 빌려온 값을 포함하여, 아랫자리에서 요구하는 발림수에 의한 뺄셈까지 수행한다. bi는 b 자리 빌림수의
    리포트 | 4페이지 | 2,000원 | 등록일 2014.01.06
  • 한글파일 심리사회적 발달이론
    그리고 각 단계의 발달과업은 '긍정 대 부정'(예, 신뢰감 대 불신감)의 양극(bi-poral)으로 구성되어 있으며, 이때 '대'는 발달적 위기(crisis)를 의미한다. ... 심리사회적 발달이론 I. ... 그리고 각 단계에 나타나는 질적인 차이는 기본적으로 생물학적 성숙(biological maturation)에 기인한다고 보았으며, 각 단계는 불변적인 순서로 나타난다고 하였다.
    리포트 | 4페이지 | 1,000원 | 등록일 2017.08.17
  • 한글파일 재공실 실험4 결보 - 4단자법을 통한 초전도 재료의 전기적
    고온 초전도 선재의 종류와 차이점을 조사하시오. 1) HTS wire(고온 초전도선)의 종류 : Bi-2223 wire, YBCO coated wire 2) 차이Bi-Sr-Ca-Cu-O ... 측정된 데이터를 바탕으로 각 재료의 V-I 그래프를 그리고, 그래프를 통해 나타나는 초전도 재료와 일반적인 금속재료의 차이점을 논하시오. ... 고온 초전도 선재들의 V-I 그래프를 통해 1 μV/cm criterion을 사용하여 초전도 선재의 임계전류(Ic)를 구하고, 임계전류밀도(Jc)를 구하시오. 1)임계전류 -초전도체에서
    리포트 | 3페이지 | 2,000원 | 등록일 2013.10.29
  • 한글파일 하주진군신연의 제 1회 우왕이 이수에서 괴이한 뱀을 잡고 현호의 여러 산에서 신 요괴를 복종시키다
    -총19획; pi,bi,pe?i) 16) ?(방황하는 모양 비; ?-총11획; *) 17) 決(터질 결; ?-총7획; jue?)의 원문은 ?(결단할 결; ?-총6획; jue?) ... …하는 것이 마땅하다. 21) 鬼幻:귀신과 환영 22) 呈形ch ng x ng :형태를 갖추다 呈(드릴 정; ?-총7획; che?ng)은 主(주인 주; ?-총5획; zhu?) ... i)의 원문은 盡(다될 진; ?-.
    리포트 | 28페이지 | 3,500원 | 등록일 2019.02.16
  • 한글파일 경상대학교 소비자행동론 정리
    대한 소비자의 신념 강도 evaluation 속성 i에 대한 소비자의 평가 1에서 n까지 소비자의 태도를 측정 Ao= sum _{} ^{} `biei 신념의 강도(bi)측정: 어떤 ... :사회구성원이 서로 어떤 형태로 관련되어 있는가를 의미 크게 친족, 성별, 연령, 공통 관심사 등에 따라 구성 친족관계는 사회조직의 가장 중요한 요소 선진국과 개도국의 친족 개념 차이 ... 단순히 개인의 구매활동만을 포함하는 것 아님 B2C, B2B까지의 구매활동 포함 - 심리적인 프로세스: 소비자가 가지고 있는 심리적인 프로세스+물리적인 활동까지 포함, 뇌과학을 통해
    시험자료 | 51페이지 | 5,000원 | 등록일 2019.01.26 | 수정일 2019.03.22
  • 한글파일 verilog - modified CLA와 CLA를 이용한 fast adder 구현
    Ci : i-1 번째 A Bit (Ai-1) 와 B Bit (Bi-1) 합의 올림수(Carry) (C0는 대체적으로 0으로 생각) ? ... Si : i번째의 A Bit (Ai) 와 B Bit (Bi)의 올림수를 제외한 합 ? Ci+1 : i번째의 A Bit (Ai) 와 B Bit (Bi) 합의 올림수 ? ... Ai : 더하는 한 이진수(A) 의 i번째 Bit ? Bi : 더하는 또 다른 이진수(B) 의 i번째 Bit ?
    리포트 | 6페이지 | 1,500원 | 등록일 2013.06.23
  • 한글파일 [일반물리학실험]열전효과 - Thermoelectric effect
    )결정 ① 일정온도 차를 유지, 외부 저항 변화에 따른 전압/전류 측정, 내부저항 및 단락전류 측정 U=a+bI b=LEFT | R _{i} RIGHT | a=U _{0}I=0 I ... 후 두 접합부를 온도차이가 크게 유지시키면 회로에 전류가 흐르게 되는 제벡 효과와 저항에 따른 전력을 측정하는 실험이다. ... {d DELTA T} over {dt} `=`m _{w} c _{w} {d DELTA T} over {dt} `=`m _{w} c _{w} b ② 일정 부하저항 하의 전기 에너지 측정
    리포트 | 7페이지 | 2,500원 | 등록일 2015.10.17 | 수정일 2020.08.01
  • 한글파일 선운동량보존(탄성충돌)
    즉 F_ext = dP over dt = 0 이므로 P= sum _{ i} ^{ }p_i = 상수이다. ... 이는 소수점 아래 4번째 자리에서 반올림한 결과로 정확한 계산값은 다소 차이가 있을 수 있다. ... 7ISg7Jq064+Z65+JIOuztOyhtCDsi6Ttl5g=&enc=utf8§ion=kin&rank=1&search_sort=0&spq=0&pid=TduomlpySDVssb%2Bi0MKssssssxN
    리포트 | 9페이지 | 1,000원 | 등록일 2017.12.16
  • 한글파일 BOD 생물학적 산소 요구량
    시료를 채수한 첫날의 용존산소와 5일후의 용존산소의 차이가 BOD가 된다. ... 제1단계 BOD ~ C - BOD (Carbonaceous BOD) 탄수화물(Carbohydrate)```+```O _{2} ```` -> ````CO _{2} ````+````H ... 이때 당량점이 초과한다면 0.0021M bi-iodate 용액을 몇방울 가하거나 일정량의 처리된 시료를 가한 후 역적정하고 보정한다. (12) 같은 방법으로 2개의 300ml BOD병에
    리포트 | 7페이지 | 1,000원 | 등록일 2018.05.13
  • 한글파일 빛의 간섭실험(뉴턴링, 프레넬복프리즘)
    빛의 간섭식 I=I _{1} +I _{2} +2I _{1} I _{2} cos( phi + delta ) where, phi `:`초기위상,` delta `:`두`광선의`위상차 In ... Fresnel‘s bi-prism ? 파장구하기 광원인 레이저와 프리즘, 스크린을 준비한다. 광원과 프리즘, 스크린의 간격에 차이를 두면서 생긴 간섭무늬의 길이를 측정한다. ... Fresnel‘s bi-prism ?
    리포트 | 5페이지 | 2,000원 | 등록일 2014.11.27 | 수정일 2015.12.06
  • 파일확장자 韓國,東유럽,碑詩歌 比較硏究의 한 측면 : 인신공희 주지를 중심으로 (인신공희 주지를 중심으로)
    우선 각 나라의 자료들을 검토함으로써 현상적인 측면에서 한국과 동유럽의 구비시가들에서 공통점과 차이점이 분명하게 드러났다. ... For the comparative objects, I chose two literary works which were transmitted from the legend ‘The Bell ... of Temple Bongdeok’ and one model of the various legend ‘Je Bi Won’ among the Korean Shamanic Epics
    논문 | 41페이지 | 7,000원 | 등록일 2015.03.24 | 수정일 2017.02.01
  • 한글파일 작용기의종류와특성
    하지만 히드록시기와 가장 큰 차이점은 바로 산화하여 알데히드가 되지 않습니다. 페놀류는 염화철(FeCl2)수용액으로 검출할수 있습니다. ? ... 아이오도기 아이오딘(영어: iodine) 또는 표준어: 요오드(독일어: Iod)는 화학 원소로 기호는 I, 원자 번호는 53입니다. 생물에게 꼭 필요한 미량 원소입니다. ... 수산화알루미늄 Al(OH)3 등), 산소산(예:질산 HNO3[=HO·NO2], 황산 H2SO4[=(HO)2SO2] 등), 염기성염의 일종인 히드로옥시염(예:비스무트의 히드로옥시염 Bi
    리포트 | 4페이지 | 1,000원 | 등록일 2017.10.26
  • 한글파일 항공대 항공전자공학 족보 총정리 기말
    System SSR Mode-S Code수 4096 16x106 ID Squawk Identify unique to A/C(permanent) Data link 없음 bi-directional ... TCAS(Traffic Alert and Collision Avoidance System) : 항공기 공중출동회피 시스템 - TCAS-I : 위치정보만 제공(항로와 방향 - 수평정보 ... 최대 400대 까지 취급가능 수신방식 : 데이터링크 서비스를 다른 항공기에 대한 정보나 항공기 상황을 더 정확히 파악하여 데이터의 정확성을 높여 감지기능이 향상되고 질문과 응답 전송차이
    시험자료 | 6페이지 | 1,500원 | 등록일 2018.03.22
  • 파워포인트파일 Varistor의 모든것
    신호의 위상 차이 발생 사용온도 영역 -40~125 °C -40~125 °C TVS Diode 는 일반적으로 25~40 °C 에서 정격 전력의 열화가 발생 가격 낮음 높음 작동원리 ... 바리스터의 전기적 특성 주요용어 설명 V-I 특성 3. TVS diode 와 Varistor 의 차이점 4. 작동원리 5. Varistor 선정 시 고려사항 6. ... 바리스터의 전기적 특성 V-I 특성 바리스터의 V-I 특성은 오른쪽 그림과 같은데 가로축이 전압이고 세로축이 전류이다 .
    리포트 | 16페이지 | 10,000원 | 등록일 2014.01.07
  • 한글파일 [아주대] 논리회로실험 3장 예비(가산기 & 감산기)
    반가산기와 전가산기의 차이, 반감산기와 전감산기의 차이를 알아본다. RESUME OF THEORY ● 가산기 덧셈을 하는 장치를 가산기(Adder)라 한다. ... ,```B _{o} =(A' BULLET B)+{(A OPLUS B)' BULLET B _{i} } INPUT OUTPUT A B Bi D Bo 0 0 0 0 0 0 0 1 1 1 ... C _{i} }가 된다. ● 감산기 입력 데이터로 표시되는 수의 차를 출력 데이터로서 표현하는 기구.
    리포트 | 9페이지 | 2,000원 | 등록일 2013.09.15 | 수정일 2013.09.25
  • 한글파일 [소아기 영양관리] 신생아기, 영아기, 유아기, 아동기의 영양관리
    학교급식 * 참고문헌 2 소아기의 각 단계별 영양관리 I. ... 특히 초유에는 성숙유에 비하여 면역 물질의 함유량이 높으며, 특히 분비형 Ig(Immunoglobulin A)는 소화관벽이 병원체나 이종 단백질을 통과시키지 않도록 coating 역할을 ... 비타민 D의 과잉증은 영양제나 비타민 D가 다량 첨가된 조제분유의 지나친 사용 때문인 경우가 많다. 3/ 비타민 B1 비타민 Bi(Thiamin)은 생체내에서 Cocarboxylase로서
    리포트 | 12페이지 | 3,000원 | 등록일 2016.08.29
  • 한글파일 빛 - 실험관찰보고서
    docId=1540125&imageUrl=http%3A%2F%2Fdbscthumb.phinf.naver.net%2F1362_000_1%2F*************0202_I11F7E4BI.jpg ... 촛불과 스크린의 거리는 그대로 두고 렌즈의 위치만 조절하여 실제 촛불보다 작은 실상이 나타나게 해본다. ④ 스크린의 촛불의 실상이 실제 촛불보다 크게 될 때와 작게 될 때는 어떤 차이가 ... %2Fca9_43_i12.jpg%3Ftype%3Dm4500_4500_fst%26wm%3DN">
    리포트 | 7페이지 | 3,000원 | 등록일 2015.11.28
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업