• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(81)
  • 리포트(74)
  • 시험자료(5)
  • 방송통신대(2)

"씨리얼포트" 검색결과 1-20 / 81건

  • 한글파일 정보 통신망 ) 메타버스(Metaverse)에 관하여 조사 설명 메타버스를 위해 활용될 수 있는 정보통신 기술에 관하여 서술 할인자료
    본론 (1) 메타버스(Metaverse)의 정의 메역시 더 발전된 디지털 시대를 맞이하게 될 것이다. ... 이러한 공연사례를 예를 들면, BTS의 포트나이트 공연, 포트나이트의 쇼트 나이트, 릴 나스 엑스의 로블록스 공연등을 들 수 있다. - 부동산 메타버스 : 우리는 집을 보러 다닐 때 ... 인터넷서비스를 통해 이루어지던 공공서비스들이 메타버스 세계에 입점하면서 리얼하게 공공서비스를 받는 느낌을 받을 수 있다.
    방송통신대 | 8페이지 | 5,000원 (5%↓) 4750원 | 등록일 2023.08.10
  • 파워포인트파일 아두이노를 활용한 실시간 온습도 감지기, 과학아이디어, 과학발명품, 캡스톤디자인, 시연영상포함
    실시간 온도습도 감지기 Real-time temperature humidity Sensor Logistics Meister 01 01 작품개요 1. 작품소개 2. ... 기존온도계 사용시 내부에서 온도를 확인해야하므로 실시간 온도 감지기를 사용하면 더 편리해질 수 있다 . ... ] 로 들어가 연결된 아두이노의 포트를 선택하여 줍니다 . 1 2 3 23 23 작품 설계 아두이노 설치과정 아두이노 스케치 실행 소개 및 개발툴 설치 포트 확인 방법 2 1 3
    리포트 | 43페이지 | 28,000원 | 등록일 2021.06.02
  • 한글파일 [로켓공학] SRM 고체추진기 설계
    다시 말해, 연소면적은 평균면적에 비해서 초기에는 0.77, 종료 시에는 1.17로 약 ±20%의 변화범위를 갖는다. ... 고체로켓모터 평면도 그림4. 3D 고체로켓모터 여기서, 10cm 두께의 단열재를 그레인 앞면에 부착하여 그레인 포트에서만 연소가 일어나도록 유도한다. ... } `=` lambda _{F} `F _{ideal} RIGHT ]F _{real} `=`0.983` TIMES `60000N`=`58980`N 3.
    리포트 | 8페이지 | 2,000원 | 등록일 2024.03.02
  • 한글파일 2020학년도 1학기 방통대 정보사회와디지털문화 - 현재 우리 사회에서 활용된 빅데이터 사례, 편리함, 프라이버시 침해 위험성 분석
    공격자들은 비표준 포트를 집중 공략해 악성 기능을 몰래 침투시키고 있다. 7억 건 이상의 멀웨어 공격을 샘플링한 소닉월의 연구 결과 19.2%의 멀웨어 공격이 비표준 포트를 사용한 ... 소닉월이 특허 출원 중인 멀웨어 분석 프로세스 기술 ‘RTDMI(Real-Time Deep Memory Inspection)’는 이전에는 본적이 없는 7만4290건의 공격을 식별했다 ... 이러한 변종들은 워낙 새롭고 고유하고 복잡해서 발견 시에 서명이 존재하지 않았으며, 다수의 사이드채널 공격으로 감지됐다. 방어 기술이 늘면서 공격도 정교해지고 있다.
    방송통신대 | 6페이지 | 6,000원 | 등록일 2020.03.17
  • 워드파일 한국항공대학교 컴퓨터네트워크 족보(총정리) 모음
    애플리케이션 레이어가 트랜스포트 레이어를 써서 프로토콜을 전달한다 애플리케이션이 트랜스포트 레이어에 요구하는 것들 Data integrity: 신뢰성 있는 데이터 유실이 되면 안 되고 ... 사용하는 애플리케이션: 이메일, 웹, SNS, P2P file sharing, YouTube, Netflix, Skype(voice over IP, IP를 통해서 목소리를 보낸다), real-time ... HTTP 이것이 가능하기 위해서는 하위의 4가지가 지원을 해주기에 가능한 것 Transport: 실제로 프로세스와 프로세스 간의 데이터를 전송하는 기능을 함 TCP, UDP 트랜스포트
    시험자료 | 29페이지 | 25,000원 | 등록일 2021.03.17
  • 한글파일 정보보안기사(산업기사) 요약본
    파일 생성 시 이미 동일한 파일이 필요하나 IP 헤더의 DF 플래그가 설정 되있는 경우 2. ... = on 설정 : 특스문자를 일반문자로 치환해주는 설정 - mysql_real_escape_string() 함수 사용 - 블랙리스트 기반의 필터링 사용 - Prepared Statement ... Event Reporting 방식 : Agent가 이벤트 발생 시 이를 Manager에게 알리는 방식(Trap 메시지)(162/udp) - php.ini의 magic_quotes_gpc
    시험자료 | 29페이지 | 5,000원 | 등록일 2022.12.26
  • 한글파일 캡스톤디자인 졸업작품 전기전자공학 초보자들도 알기쉬운 라즈베리파이를 이용한 독거노인과 1인가구를 위한 안전장치
    구글에 real vnc를 검색 후 다운로드하고 실행 7. vncserver 입력 후 나오는 주소를 포트번호까지 입력하게 되면 로그인 창이 뜸 8. ... 부착시켜 하루 동안 화장실이나 냉장고 사용량 패턴을 분석하여 이러한 패턴에서 불규칙적인 사용량이 발견이 되면 알림센서 또는 보호자의 핸드폰으로 연락이 갈수 있게 하여 사고가 발생 시에
    리포트 | 28페이지 | 17,000원 | 등록일 2023.03.08 | 수정일 2023.03.15
  • 한글파일 ATmega128_IO port 보고서
    이 기능으로 사용 시에는 입출력 방향은 입력 방향으로 해야 하고, Pull-up 기능을 활성화하여 사용할 수도 있다. ? ... PG4 (bit 4) - TOSC, Real-Time Clock을 위한 타이머/카운터0 ASSR 레지스터의 AS0 비트를 1로 하면, 동기 클럭 입력으로 사용되며, I/O로 사용되지 ... PG3 (bit 3) - TOSC2, Real-Time Clockd을 위한 타이머/카운터0 ASSR 레지스터의 AS0 비트를 1로 하면, 동기 클럭 입력으로 사용되며, I/O로 사용되지
    리포트 | 7페이지 | 1,000원 | 등록일 2017.10.30 | 수정일 2020.07.04
  • 한글파일 아주대 인터넷프로토콜 설계 보고서
    X씨 맞으시죠? ” 그리고 다시 송신자가 “아 네 저 X씨 맞습니다. Y씨 이제 본론을 이야기 해 볼까요?” ... public, and to disclose promptly factors that might endanger the public or the environment; 2. to avoid real ... 포트는 서버의 포트로 관리자 영역의 포트 번호인 0~1000사이의 포트 번호를 사용하고, 나의 컴퓨터와 같은 guest들은 52435와 같은 가용 포트번호를 사용하는 것을 확인 할
    리포트 | 23페이지 | 1,500원 | 등록일 2016.10.10
  • 파워포인트파일 2020 자율주행자동차의 현재와 미래 ppt (한글/영어) - 2020 자율 주행차 ppt(신형 G80 자료+자율주행용어 추가)
    Ex) 노인 VS 아이 ( 불가피한 사고 발생 시 누구를 살릴 것인가 ?) ... ) 2.5 단계 반 자율주행 구현 - 2.5 level of autonomous driving 프리뷰 전자제어 서스펜션 카메라와 네비게이션 활용하여 지형 파악 – 과속 방지턱 , 포트홀 ... braking Stereo Vision 차 유리 정면에 설치된 두개의 카메라로 실시간 도로의 이미지를 3D 로 구현 Two windshield-mounted cameras create real-time
    리포트 | 19페이지 | 7,700원 | 등록일 2019.03.20 | 수정일 2022.06.03
  • 파워포인트파일 경쟁제품 사용자경험(UX인터렉션) 비교 - 스카이스캐너(Skyscanner)와 트래블하우
    필요 시 최저가에 항공사 별로 시간대를 다시 설정해서 시간을 바꾸면 가격 차이가 얼마나 나는지도 알 수 있다 . 7. ... ( 전 세계 스카이스캐너 이용 고객 중 1/4 이상 ) 페이지 주소 https://www.travelhow.com / 카테고리 : 여행 용량 : 28,598kb 개발사 : 트래포트 ... Match between system and the real world 명령어 한국어 기준으로 간결한 단어나 존댓말의 완벽한 문장 두 가지로 제시함 우리나라 문법에 알맞게 배열되어
    리포트 | 17페이지 | 6,000원 | 등록일 2019.02.24
  • 한글파일 의학용어
    브로지고름집/브로다농양 (브론디스 어브세스) spondylopathy 척추병증 (스폰디로패시) spondylitis 척추염/척추골염 (스폰디라이티스) pott's disease 포트병 ... region 가슴부위 (쏠라식 리젼) lumbar region 허리부위 (룸바 리젼) sacral region 엉치부위 (세이크랄 리젼) coccygeal region 꼬리부위 (코씨지얼 ... 삼첨판폐쇄증 (트리커스피드 아트레시아) septal defect 사이막결손/중격결손 (셉탈 디펙트) atrial septal defect(ASD) 심방사이막결손/심방중격결손 (아트리얼
    리포트 | 30페이지 | 2,000원 | 등록일 2017.11.22 | 수정일 2023.01.09
  • 한글파일 원도우의 구조와 작동원리 논문
    비선점형: 시스템 호출 시에 다른 태스크로 전환 -> 시스템 호출을 안 할 경우 문제발생 ? ... DLL 파일에서 익스포트된 함수의 이름이 모여 있다. ? IMAGE_IMPORT_DESCRIPTOR 구조체의 OriginalFirstThunk 항목을 통해서 위치를 찾는다. ? ... Real Mode ? Protected Mode ? Virtual X86 Mode ▣ 프로그램 재배치(Relocation) 매커니즘 ? 고정 주소 사용시의 문제점 ?
    리포트 | 29페이지 | 2,000원 | 등록일 2014.12.23 | 수정일 2015.01.24
  • 한글파일 정보보호 Firewall, IDS, IPS
    장·단점 장점 단점 ㆍ해킹에 대하여 침입 차단 시스템보다 적극적인 방어 가능 ㆍ내부 사용자의 오·남용 탐지 및 방어 가능 ㆍ해킹 사고 발생 시 어느 정도의 근원치 추적 가능 ㆍ대규모 ... SNMP Name Service Shunning ㆍIDS 자체로 의심스러운 탐지나 공격을 차단하는 것이 아니라 라우터나 방화벽에 공격자나 의심스러운 자의 근원지 IP 주소와 서비스 포트에 ... packet analysis) ㆍ실시간 행위 감시 및 분석 기술(Real-time activity monitoring) 이메일 SNMP Trap 발송 세션 차단 기능 ㆍ공격 대상
    리포트 | 6페이지 | 1,000원 | 등록일 2015.04.30 | 수정일 2015.10.05
  • 한글파일 아주대 기전실 DC 예비 1주차( 기기사용법, DC 2, 3, 4 )
    전류의 경우 직렬연결 시 같은 전류가 흐르기 때문에 회로를 끊고 소자에 직렬 연결하여 측정한다. ... 전원 조절단자 출력포트 출력화면 단위조절단자 우리가 사용할 DMM은 크게 전원, 조절단자, 출력포트, 출력화면, 단위조절단자로 이루어 져있다. ... public, and to disclose promptly factors that might endanger the public or the environment; 2. to avoid real
    리포트 | 10페이지 | 1,500원 | 등록일 2014.07.02 | 수정일 2021.03.10
  • 파워포인트파일 plc자료
    (User Inputs (유져 임포트),Sliders (슬라이더),Touch Pushbuttons(터치 푸쉬버튼)) User Input(유져 인포트)에는 3가지 유형이 있습니다. ... 또는 어떤 값인지 0과 1을 표시) 태그를하나만든다 EX_TAG1 (타입은 I/O Real) Access Name(액세스 네임)을 클릭해서 EXCEL 그릅을 만들고 더블클릭을 한다 ... Analog()치를 수치로 표현시) String(스트링) : 링크를 걸어서 디스플레이 시에 사용됨(EX.
    리포트 | 33페이지 | 2,000원 | 등록일 2014.08.21 | 수정일 2014.09.19
  • 파일확장자 [통신]간단한 파일전송프로그램
    #include #include #include #include #include #define MAX100#defineBASE0x2f8#define THRBASE+0#define RBRBASE+0#define BRDLLBASE+0#define BRDLMBASE+1#de..
    리포트 | 2페이지 | 1,000원 | 등록일 2001.12.30
  • 파워포인트파일 서양음료
    다량의 탄닌 성분⇒ 떫은 맛 주로 육류 식사 시 색깔에 따른 와인 종류 화이트 와인 (White Wine) 청포도의 황금색 적은 양의 타닌 성분⇒ 순하고 상큼한 맛 주로 생선류 식사 시 ... 가리키는 와인 분위기를 좋게 하는 와인 입안을 헹궈주는 역할 주로 레드 와인 식사 용도에 따른 와인 디저트 와인 (Dessert Wine) 식사 후 입 안을 개운하게 하는 와인 포트나 ... 맥주 영국 맥주 펍 (Pub) 을 즐기는 영국 호킨스의 나라 영국 맥주 축제 ( Great British beer festival: GBBF) CAMRA(Campaign for Real
    리포트 | 45페이지 | 2,000원 | 등록일 2013.09.24
  • 한글파일 일본 오사카 문화 탐방 보고서
    호텔 - 자스코 매장 자유견학 - 베스트웨스턴 간사이 에어포트 호텔 2 일차 베스트웨스턴 간사이 에어포트 호텔 - (고베)차이나 타운 - 메모리얼 파크 - 하버랜드 및 모자이크 거리 ... 아래 사진의 대형 간판에는 갤럭시 탭과 최지우씨가 모델로 한 광고가 있는데, 이국땅에서 보는 자랑스러운 모습이었다. ... 차이나 타운에서 도보로 10분 정도 이동하면, 메모리얼 파크가 있다.
    리포트 | 13페이지 | 1,500원 | 등록일 2011.11.28
  • 한글파일 인터럽트를 이용한 직렬통신 예비 보고서
    RS-232 통신은 EIA에서 규격한 표준 시리얼하는 보드레이트 생성기를 가지고 있습니다. - 8051 통신포트의 구조 - 8051의 시리얼 통신 모드는 4가지가 있으며 따라서 다양한 ... SM2는 모드2, 3에서 멀티통신을 가능하게 하는 제어비트로서 데이터 수신시에는 RI비트를 세트하여 인터럽트를 요청하는 것에 대한 가부결정을 하는 데 사용될 뿐 아니라 통신모드1에서는 ... 험 성명 공동 실험자 학번 제출일자 성명 인터럽트를 이용한 직렬 통신 □ 직렬 통신과 8051의 통신포트의 구조에 대해 설명하시오. - 시리얼 통신(UART) - 장비간 또는 장치와
    리포트 | 4페이지 | 2,000원 | 등록일 2012.06.26
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업