• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(1,173)
  • 리포트(1,125)
  • 시험자료(31)
  • 자기소개서(7)
  • 방송통신대(6)
  • 논문(3)
  • 표지/속지(1)

"맥스소스" 검색결과 101-120 / 1,173건

  • 한글파일 [대학수학의이해 2022-2] 1. 1970년대 초부터 개발되기 시작한 CAS(Computer Algebra Systems)는 수학 연산을 쉽게 접근할 수 있게 하고 연산 시간을 줄여주어 유사한 문제를 반복적으로 학습할 수 있도록 도울 수 있다는 장점이 있다. 반면, CAS에 지나치게 의존하면 수학적 개념을 놓치고 수학의 본질적 논리
    GUI는 tkinter GUI이며 함수와 mix, max(정의역)를 입력하면 그래프를 그려준다. ... 그림의 예는 3x ^{3} +6x ^{2} -12x+5를 그린 것이다. github에 필요한 수학적 용도로 검색하면 거의 모든 코드가 이미 만들어져 있으며 오픈소스로 사용할 수 있다
    방송통신대 | 3페이지 | 5,000원 | 등록일 2023.09.16 | 수정일 2023.09.19
  • 한글파일 애니메이션 종류와 한국 미국 일본 애니메이션 특징
    것으로 애니메이션만으로는 표현하기 어려운 현실과 유사한 이미지를 표현할 때 주로 이용 로토스코프는 1917년 막스 플라이저사가 특허를 낸 영사기의 상표명 1920년대 초 미국의 맥스와 ... 일러스트레이터, 플레쉬, 레타스, 프로 등의 소프트웨어를 사용한다 3D애니메이션은 3차원 컴퓨터공간 속에 3차원의 데이터를 입력하여 만들어진 입체적인 모델 및 그 프로세스로 3D Max ... 스튜디오 잡지만화->단행본만화->TV애니메이션->비디오->극장 애니메이션등의 체계적인 구조로 캐릭터가 탄생\ 미국 애니메이션 캐릭터(미키마우스, 스누피) 월트디즈니, 위너브라더스 원 소스
    리포트 | 4페이지 | 1,000원 | 등록일 2023.02.02
  • 파일확장자 <<AVR 푸쉬업 카운터 만들기>>초음파 센서,거리측정,HC-SR04,AVR,ATmega,회로도,소스코드,졸업작품
    모듈의 구조는 송신부와 수신부, 제어회로로 이루어져 있다 소스에서는 HEIGHT_MIN, HEIGHT_MAX 상수로 기준을 15cm, 35cm로 정했기 때문에 푸쉬업 시에
    리포트 | 19페이지 | 5,000원 | 등록일 2019.08.12 | 수정일 2019.08.14
  • 워드파일 부산대 경제통계학 과제
    (a)각 표본의 평균과 표준편차 소스 A: 평균 6.86, 표준편차 1.50 소스 B: 평균 7.24, 표준편차 1.21 (b)각 표본의 변동계수 소스 A: 21.83% 소스 B: ... 16.69% (c)두 소스에 대해, 소비자들은 소스 B를 더 선호한다. ... 그 이유는 소스 B의 평균이 높고, 표준편차가 적으므로(=퍼진 정도가 적으므로) 상대적으로 소스 A보다 소스 B의 평점이 더 높은 점수에 더 몰리기 때문이다.
    리포트 | 22페이지 | 3,000원 | 등록일 2020.01.05
  • 한글파일 고려대학교 일반대학원 식품공학과 연구계획서
    저는 또한 췌장 리파제에 대한 대두(Glycine max [L.] Merr.) ... 연구계획서 저는 고려대학교 대학원 식품공학과 연구실에 진학을 하고 나서 HMR형 상품화를 위한 고등어 및 가자미 조림용 특화 소스 및 신제품 개발 연구, 무지개 송어, Oncorhynchus
    자기소개서 | 2페이지 | 3,800원 | 등록일 2024.01.31
  • 한글파일 모멘텀 매매방식을 파이썬으로 적용한 비트코인 매매전략
    아래에 모멘텀 전략을 구현한 소스코드를 공개한다. 변수 옆에는 코멘트로 설명을 달아 놓았다. ... 그런데 결과적으로 수익률도 수익률이지만 최대하락폭(MDD, Max DrawDown)이 상당히 개선되어 마음편한 투자가 될 수 있다는 것을 알게 되었다. ... iloc[i]) print(a) #mo = 10000 # 가상의 투자금 mo = a[0] spr = 0 # 가지고 있는 비트코인 갯수 gk = 99.9 # 99.9 mdd = 0 # Max
    리포트 | 6페이지 | 3,000원 | 등록일 2022.09.06 | 수정일 2024.03.14
  • 한글파일 시스템 프로그래밍 리눅스 myshell 만들기
    소스코드 #include #include #include #include #include #include #include #include #include #define MAX_CMD_ARG ... ]; char **subv[MAX_CMD_ARG]; int pfd[2]; for (int i = 0; i < *cargc; i++) { if (! ... char *str) { perror(str); exit(1); } int makelist(char *s, const char *delimiters, char **list, int MAX_LIST
    리포트 | 12페이지 | 2,500원 | 등록일 2021.04.10
  • 워드파일 전자회로실험2_14장 JFET바이어스 회로설계
    Q점은 IDQ=1/2(IDSS), VDSQ=1/2(VDS_max), VDD=2VDSQ라는 조건에서 계산된다. ... 이때, 소스 저항이 커질수록 바이어스 선은 수평에 가깝게 되고, 드레인 전류는 작아진다. ... 그래프 상의 교점에서 x축과 y축에 수선을 그리면 드레인 전류와 게이트-소스 전압을결정할수 있다.
    리포트 | 10페이지 | 2,500원 | 등록일 2023.11.30
  • 한글파일 전자공학응용실험 - 캐스코드증폭기 결과레포트
    고찰사항: (1) 캐스코드 증폭기가 공통 소스 증폭기에 비해서 가지는 장점과 단점을 논하시오. -> 캐스코드의 장점은 공통소스증폭기에 비해 전압이득이 더 커진다. ... 이론과 같이 RL값을 건드릴 수 없는 상황(가변저항의 max인 100kΩ)에서 VDD값을 조정하여 강제로 VG값을 올려서 전압 이득을 늘리기 위해 VDD값을 12V에서 12.63V로
    리포트 | 3페이지 | 2,000원 | 등록일 2021.12.20 | 수정일 2021.12.23
  • 워드파일 [c언어] 링크드리스트 연결리스트 생성(Create), 추가(Insert), 역순(Reverse), 삭제(Delete), 출력(Display)
    자료구조 프로그래밍 과제 #4 프로그램 소스와 각각의 경우에 대한 실행 결과 #include #include #include typedef struct element{int numerator ... ("max : %f, min : %f\n", max_num, min_num); //delete rat_node * del = NULL; del = rat->head; rat_node ... \n"); for(int i=0; i max_num) max_num = inputs[i]; if(inputs[i] < min_num) min_num = inputs[i]; } printf
    리포트 | 5페이지 | 2,000원 | 등록일 2019.12.21
  • 워드파일 원형 큐에 존재하는 요소의 개수를 반환하는 연산 get
    ; return count; } ㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡㅡ C언어로 구현한 원형 큐 소스코드 #include #include #define Q_SIZE 3 typedef ... 이용하여 구현하여 보라. int get_count(QueueType *q) { int count = q->rear - q->front; if( count < 0 ) count += MAX_QUEUE_SIZE
    리포트 | 2페이지 | 2,000원 | 등록일 2019.09.24
  • 한글파일 영미 the killers 번역본
    “나는 파란 완두콩과 크림 소스와 으깬 감자를 곁들인 닭고기 크로케를 먹겠했다. “검둥이에게 이리 나오라고 말해.” “그에게 무엇을 하려고요?” “아무것도 하지 않아. ... “나는 사과 소스와 으깬 감자를 곁들인 구운 돼지 허리고기를 먹겠어.” 첫 사나이가 말했다. “그건 아직 준비가 안 되는데요.” “도대체 무엇 하러 메뉴판에는 써 있지?” ... 맥스가 말했다. 맥스는 거울과 시계를 지켜보았다. 시계 바늘이 일곱 시를 가리켰고, 이윽고 일곱 시 오 분이 되었다. “이리 와, 앨.” 맥스가 말했다. “가는 편이 낫겠어.
    시험자료 | 12페이지 | 2,000원 | 등록일 2019.12.03
  • 한글파일 MLP(다층퍼셉트론) 학습 기법(파라미터 기반) 실습 보고서
    MLP 학습 소스 코드와 실행 결과 1) 소스 코드 import pandas as pd import numpy as np import tensorflow as tf from keras.layers ... 구성 (실행결과1의 모델) (1) 입력층 : 노드 4개 (2) 은닉층 : 노드 10개, 활성화 함수 RELU, Dropout 20% (3) 출력층 : 노드 3개, 활성화 함수 소프트맥스 ... MLP 학습 소스 코드와 실행 결과 2 4. MLP 학습 네트워크 구조 11 5. MLP 학습 파라미터 12 1. 데이터셋 1) 데이터명 : IRIS (아이리스, ?痂?
    리포트 | 17페이지 | 5,000원 | 등록일 2021.01.22
  • 한글파일 조선대 메카트로닉스설계 아두이노 코드 실습
    duty_ref=Serial.parselnt(); Serial.print(“DC motor Duty Comd= ”); Serial.println(duty_ref); } int duty_max ... //스위치 on은 0, 스위치 off는 1이 1초마다 출력되는 소스 void setup() { pinMode(8, INPUT_PULLUP); Serial.begin(9600); } ... 13번 핀 끌 때 9번도 끄겠다 delay(1000); // Wait for 1000 millisecond(s) } //스위치 on은 0, 스위치 off는 1이 1초마다 출력되는 소스
    리포트 | 13페이지 | 2,500원 | 등록일 2023.12.25
  • 한글파일 [자연과학] 기상 관측기기 관리대장
    현재 충전 소스 : 3 ~ 5 mA ? ... 현재 충전 소스가 없는 경우 : 0 mA - 전력 전원이 나갔을 때 (+12 단자) : 베터리로부터 제한되지 않은 12 V를 받음. - 공칭 정격 : 7Ah - 무게 : 3.1 kg ... ) 115.2 kbaud Bus isolation 300 VDC 전력 소비 (Power consimption) @ 24 V max 50 mA External loads standard
    리포트 | 67페이지 | 1,000원 | 등록일 2020.08.01
  • 한글파일 자바(JAVA) 완전정복(1)
    바로 다음에 오는 x가 max에 들어가고, 거짓이면 y의 값이 max에 들어감 캐스트 연산자에서 예를 들면 inx x = 10 ; double y = 20.0 ; y = x + y ... : 조건연산자에서 예를 들면 max = (x>y) ? x : y ; ┖ 조건식이 참이면 ? ... 객체 지향 언어 (JAVA) 1단계 √ 자바 개발 도구의 기능 자바 개발 도구 (J2SE) javac : 자바 컴파일러로서, 자바 소스 프로그램을 컴파일 하여 클래스 파일 생성 java
    시험자료 | 21페이지 | 3,000원 | 등록일 2020.10.22 | 수정일 2021.01.06
  • 한글파일 대우건설 전기직 합격 자기소개서
    설문조사를 토대로 고객이 선호하는 소스 3가지(칠리, 치즈, 마요네즈)를 비치했고, 장사하는 위치를 지하철에서 근접한 대로변으로 옮겼습니다. ... 체득] 다음과 같은 전공 프로젝트를 수행하면서 엔지니어의 기본자세를 체득할 수 있었습니다. 1) PFC회로를 통해 역률을 개선함으로써 전력 손실을 줄이는 실험(5) 프로젝트 2) MAX3736
    자기소개서 | 3페이지 | 3,000원 | 등록일 2023.09.20
  • 한글파일 C++ 프로그래밍 입문 팀 보고서
    ; ~CPublic_transportation(void); int getTotalNode(void); //버스가 지나치는 정류장의 수를 리턴하는 함수 CString m_Nodes[MAX_NODE ... 5 ■ 소스 코드 분석??????????? 8 ■ 실행 화면 설명???????????12 ■ 개발환경???????????22 ■ 참고 문헌 및 웹사이트??????? ... ~ 11월28일 11월29일 ~ 11월30일 12월1일 ~ 12월2일 12월3일 ~ 12월4일 12월5일 ~ 12월6일 12월7일 ~ 12월8일 12월9일 계획/선정 클래스 및 소스
    리포트 | 103페이지 | 1,500원 | 등록일 2020.03.25 | 수정일 2020.10.05
  • 한글파일 자료구조 - 큐 요약 및 소스 분석, 코드 개선 과제
    create(max_size) : 최대 크기가 max_size인 공백큐를 생성한다. ? init(q) : 큐를 초기화 ? ... 반환) 서비스 시간이 0이 되었으므로 새롭게 고객이 업무 처리시작하며 기다린 시간 출력 1-3 구현&개선(순공학) - 개선코드(구현된 코드를 개선한 코드를 구현하고 주석달기) ■ 소스 ... deque.c -> 큐 타입 queue 선언 후 초기화 -> 큐 i=0~2일 동안 큐의 전단에서 I 값 삽입 (큐는 비어있고, max가 5이므로 맨 끝 인덱스는 4이다.
    리포트 | 9페이지 | 2,000원 | 등록일 2020.09.01
  • 한글파일 처음 만나는 인공지능 2장 연습문제 레포트
    단답식/선택식 문제 1. ( )란 기술의 원천인 프로그램의 소스 코드를 누구에게나 무료로 공개하는 것이다. 답) 오픈소스 2. ... 답) 구글 “홈 허브”, 누구 네모, 구글 넥스트 허브 맥스 3. 우리나라가 인공지능 분야에서 앞서가는 나라가 되기 위해 어떤 노력을 기울여야 할지를 생각해보시오.
    리포트 | 5페이지 | 3,000원 | 등록일 2021.06.20 | 수정일 2022.09.02
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 05월 04일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:23 오후