• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(128)
  • 리포트(114)
  • 자기소개서(11)
  • 논문(2)
  • 시험자료(1)

"ASIC 실험" 검색결과 1-20 / 128건

  • 워드파일 FPGA구조와 ASIC 설계 방법 실험 레포트
    예비 레포트 - 실험날짜 : 2018년 11월 27일 - 실험주제 : FPGA구조와 ASIC 설계 방법 - 예비이론 • FPGA & ASIC 정의 FPGA(Field Programmable ... 이런 설계개발은 일반적인 FPGA에서 만들었고 좀 더 ASIC와 비슷한 고정된 버전으로 변경되었다. • ASIC 특성 ASIC은 특성에 따라 Full custom IC, CBIC(Cell ... FPGA는 일반적으로 주문형 반도체(ASIC) 대용품보다 느리고, 복잡한 설계에 적용할 수 없으며, 소비전력이 크다.
    리포트 | 4페이지 | 2,500원 | 등록일 2021.11.08
  • 파일확장자 ASIC실험
    실험내용 title 6주차: Combinational Logic: odd parity checker(실험보고서)3.
    리포트 | 5페이지 | 8,000원 | 등록일 2008.09.03
  • 파워포인트파일 ASIC 설계 및 실험 프로젝트 PPT
    ASIC설계 및 실험 V. 프로젝트 후기 VI. 게임 시연 공학 경영 ASIC설계 및 실험 II III IV V VI I ASIC설계 및 실험 감사합니다. ... 코딩 소스 및 설명 공학 경영 ASIC설계 및 실험 II III V VI IV I ASIC설계 및 실험 IV. 코딩 소스 및 설명-클럭 설정 ASIC설계 및 실험 IV. ... 코딩 소스 및 설명-똥 움직임 ASIC설계 및 실험 IV. 코딩 소스 및 설명-황금똥 움직임 ASIC설계 및 실험 IV.
    리포트 | 20페이지 | 1,000원 | 등록일 2013.03.01
  • 한글파일 ASIC 설계 및 실험 프로젝트 코드
    똥 피하기 게임 게임의 요지 : 하늘에서 8개의 똥이 떨어진다. 한정된 공간에 갇혀 있는 주인공! 무한히 똥을 피할 수 밖에 없다. 이곳에서 나가는 방법은 단 하나! 하늘에서 떨어지는 똥을 계속 피하여 떨어진 개수만큼 움직이는 벌레를 목적지까지 움직이게 하면 이 곳을 ..
    리포트 | 8페이지 | 1,000원 | 등록일 2013.03.01
  • 한글파일 [asic실험] asic을 이용한 시스템 설계
    project -주차제어기 조원 전파통신공학과 9842398이주엽. 컴퓨터공학과 9840936김현수. 컴퓨터공학과 9850079윤철훈. 1.주차제어기의 기능 주차장의 현재상황과 주차비,그리고 진입제어기 및 출구와 입구에 있는 차량 출입 센서들을 제어하여 신호등의 빨간불..
    리포트 | 7페이지 | 1,000원 | 등록일 2003.12.22
  • 파워포인트파일 [vhdl] asic설계실험 발표자료
    ..PAGE:1 A S I C 설 계 실 험 (스톱워치 설계) 전자공학 ..PAGE:2 1. 전체 구성도 ..PAGE:3 2. 각 블록 설명 Top ★ 전체 블록의 signal들을 관리해줌 ..PAGE:4 2. 각 블록 설명 State Machine ★ 세 단계의 st..
    리포트 | 10페이지 | 1,000원 | 등록일 2003.12.22
  • 파일확장자 [ASIC] 경희대학교 ASIC 설계 및 실험 모든 보고서
    9/25일 전가산기를 이용한 2bit 전가산기 1. 이론 2비트 전가산기는 2개의 1비트 전가산기로 구성될 수 있으며, 1비트 전가산기는 2개의 반가산기와 OR 게이트로 구성될 수 있다. 따라서 구조적인 프로그래밍 방법으로 2bit 전가산기를 설..
    리포트 | 6페이지 | 1,000원 | 등록일 2004.01.21
  • 한글파일 [디코더] ASIC실험-디코더설계
    ASIC 설계실험 결과 REPORT ◎ 3×8 디코더 설계 ◎ 학과 전자.정보 학부 학년 3학년 학번: 9844464 성명 박 원 규 수업 목요일 오후5∼6시50분 1)이론 디코더(
    리포트 | 5페이지 | 1,000원 | 등록일 2003.04.03
  • 한글파일 [전자공학실험] ASIC 설계 전가산기 MUX
    - 전 가 산 기 - ▲ 이 론 반가산기는 2진수 한 자리만 계산할 수 있으므로 두 자리 이상을 계산할 때 사용 할 수 없다. 일반적인 계산에서는 여러 자리인 2진수를 덧셈하게 된다. 두 자리 이상을 덧셈할 때는 아랫자리에서 올라온 자리올림을 덧셈하여 두 자리의 합을 ..
    리포트 | 8페이지 | 1,500원 | 등록일 2002.03.29 | 수정일 2021.12.21
  • 워드파일 [서울시립대] 전자전기컴퓨터설계실험2 / Lab02(결과) / 2021년도(대면) / A+
    실험 이론 (1) ASIC a. ... ASIC의 이해 - 특정한 전자/정보통신 제품에 사용할 목적으로 설계된 비메모리 반도체 칩으로, 복잡한 큰 디지털 시스템은 앞서 소개한 TTL 등으로 제작할 수 없고 고집적 ASIC으로만 ... ASIC의 분류 ASIC 분류 체계도 공간 활용 NRE 비용 설계 변경 호환성 개발 기간 PLD 중~저 저 용이 여러가지 FPGA 중 저 용이 여러가지 1주 이내 Semi Custom
    리포트 | 9페이지 | 2,000원 | 등록일 2022.07.15
  • 워드파일 [서울시립대] 전자전기컴퓨터설계실험2 / Lab02(예비) / 2021년도(대면) / A+
    실험 이론 (1) ASIC a. ... Spartan-3 FPGA Attributes - 20만개의 논리 게이트가 구현 가능하다. (3) 본 실험 장비인 HBE Combo-II SE는 FPGA 칩의 동작을 실험 할 수 있는 ... ASIC의 이해 - 특정한 전자/정보통신 제품에 사용할 목적으로 설계된 비메모리 반도체 칩으로, 복잡한 큰 디지털 시스템은 앞서 소개한 TTL 등으로 제작할 수 없고 고집적 ASIC으로만
    리포트 | 9페이지 | 2,000원 | 등록일 2022.07.16
  • 워드파일 서울시립대 전전설2 Lab-02 예비리포트 (2020 최신)
    실험에서는 ASIC 방식을 이용한다. standard logic IC의 큰 단점이 복잡하고 큰 시스템은 제작하기 힘들다는 것이다. ... 앞선 Lab-01에서 디지털 설계에는 두 가지 방법, standard logic IC와 ASIC가 있다고 배웠는데 Lab-01 실험에서는 standard logic IC를 이용했고 ... ASIC 방식에는 대표적으로 Full custom IC(ASIC이라 부르기도 함)와 FPGA가 있다.
    리포트 | 10페이지 | 1,500원 | 등록일 2021.09.10
  • 워드파일 서울시립대 전전설2 Lab-02 결과리포트 (2020 최신)
    실험에서는 ASIC 방식을 이용한다. standard logic IC의 큰 단점이 복잡하고 큰 시스템은 제작하기 힘들다는 것이다. ... 앞선 Lab-01에서 디지털 설계에는 두 가지 방법, standard logic IC와 ASIC가 있다고 배웠는데 Lab-01 실험에서는 standard logic IC를 이용했고 ... ASIC 방식에는 대표적으로 Full custom IC(ASIC이라 부르기도 함)와 FPGA가 있다.
    리포트 | 15페이지 | 1,500원 | 등록일 2021.09.10
  • 한글파일 Verilog HDL을 이용한 로직게이트설계 및 FPGA를 통한 검증 예비레포트 NAND2,NOR2.X
    실험 제목 [Verilog HDL을 이용한 로직게이트설계 및 FPGA를 통한 검증] 2. ... FPGA는 일반적으로 주문형 반도체(ASIC) 대용품보다 느리고, 복잡한 설계에 적용할 수 없으며, 소비전력이 크다. ... 실험 목적 -Hardware Description Language(HDL)을 이해하고 그 사용방법을 익힌다.
    리포트 | 4페이지 | 1,000원 | 등록일 2021.06.20
  • 파일확장자 생물정보학 결과보고서
    생물정보학 Tool1 ) BLAST : B asic Local Alignment Search Tool Tool의 약자로 22개 이상의 핵산 서열이나 아미노산 서열이 서로 얼마나 비슷한지 ... 실험이론1. 생물정보학 생명공학과 정보학의 합성어로 생물학 분야의 해석을 통계학과 컴퓨터 시스템의 도움을 받는 방식의 학문이다2. ... 실험목적- 생물정보학 학문을 이해하고 Tool 사용법을 익히며 이를 이용하여 미지의 유전자를 데이터베이스에 대조하고 어떤 서열인지 탐구한다 또한 단백질 서열의 서열 유사성을 비교해보고
    리포트 | 14페이지 | 1,000원 | 등록일 2023.11.13
  • 워드파일 서울시립대 전전설2 Lab-01 결과리포트 (2020 최신)
    반면 ASIC의 또다른 방식인 FPGA는 수정이 여러 번 가능하다. ... 이에 반해 ASIC 방식은 복잡한 논리 회로를 구현할 때 사용되고 빠르게 동작한다는 장점이 있다. ... ASIC 방식 중 Full custom IC는 대량 생산에 특화되어 있고 단가가 싼 장점이 있지만 한번 만들어지고 나면 수정이 불가능하다는 단점이 있다.
    리포트 | 15페이지 | 1,500원 | 등록일 2021.09.10
  • 파일확장자 전자공학과 대학원 자기소개서 작성 성공패턴 면접기출문제와 구두면접예상문제 입시시험문제
    두 과목 모두 선수 과목으로 전자 회로를 요구한다..디지털시스템 설계.ASIC(주문형 반도체 회로) 설계.VLSI(대규모 집적 회로) 설계 - 선수과목으로 논리회로를 요구한다..SoC ... 선수과목으로 회로이론을 요구한다. .전자회로 실험 1, 2, 3: 학교에 따라서는 회로이론과 전자회로에서 실험 부분을 떼어내서 전자회로 실험 1이라는 과목을 따로 개설하기도 한다. ... 전자회로 실험 2, 3은 전자회로 교과서(Sedra, Neamen 등)의 중후반부에서 선택한 주제들을 바탕으로 실험을 진행한다..아날로그 및 디지털 집적 회로 - 아날로그 집적 회로는
    자기소개서 | 436페이지 | 9,900원 | 등록일 2019.05.10
  • 워드파일 서울시립대 전전설2 Lab-01 예비리포트 (2020 최신)
    반면 ASIC의 또다른 방식인 FPGA는 수정이 여러 번 가능하다. ... 이에 반해 ASIC 방식은 복잡한 논리 회로를 구현할 때 사용되고 빠르게 동작한다는 장점이 있다. ... ASIC 방식 중 Full custom IC는 대량 생산에 특화되어 있고 단가가 싼 장점이 있지만 한번 만들어지고 나면 수정이 불가능하다는 단점이 있다.
    리포트 | 9페이지 | 1,500원 | 등록일 2021.09.10
  • 한글파일 건국대 전기전자공학부 편입학 학업계획서
    저는 건국대학교 전기전자공학부에서 전기전자기초실험1,2, 전기전자기초설계및소프트웨어실습, 마이크로프로세서응용, 네트워크프로그래밍, 교류여자기기, 무선통신공학, 반도체공학, 알고리즘응용 ... OO대학교에서 반도체프로세스, 컴퓨터비젼, 무선네트워크, 지능시스템, 신재생에너지, 전력경제, 객체지향프로그래밍언어및실습, 전동기제어, 패턴인식, ASIC설계 등의 수업을 들었습니다
    자기소개서 | 1페이지 | 3,800원 | 등록일 2022.12.22
  • 워드파일 [서울시립대] 전자전기컴퓨터설계실험2 / Lab03(예비) / 2021년도(대면) / A+
    참고문헌 1) 서울시립대학교 전자전기컴퓨터설계실험2 실험 교안 2) M.. ... 실험 장비 및 재료 가. ... 실험 이론 (1) HDL의 종류 a.
    리포트 | 12페이지 | 2,000원 | 등록일 2022.07.16
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업