• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(6,434)
  • 리포트(6,161)
  • 시험자료(119)
  • 논문(94)
  • 자기소개서(27)
  • 방송통신대(21)
  • 서식(7)
  • ppt테마(3)
  • 이력서(2)

"7segment" 검색결과 1-20 / 6,434건

  • 한글파일 7 segment Decoder회로설계
    아날로그 및 디지털회로 설계실습 예비 REPORT 10. 7-segment / Decoder 회로 설계 분 반 교 수 명 실험 날짜 제출 날짜 조 학 번 이 름 요약 : 7-segment와 ... 서론 7-segment와 Decoder를 이해하고 관련 회로를 설계한다. 2. 실험결과 10-3. ... 결론 7-segment와 Decoder를 이해하고 관련 회로를 설계한다. 참고 자료를 올려주신 조교님께 감사의 말씀을 드립니다.
    리포트 | 5페이지 | 1,000원 | 등록일 2021.12.15
  • 워드파일 기초실험 7segment 결과보고서
    실험 이론 7 segment indicator는 10진수 수 0에서 9까지 어느 하나를 표시하기 위해 이용된다. ... 위 그림처럼 회로를 연결하면 된다. 7segment 및 BCD to 7 Segment Decoder 7447은 0000을 입력하면 a,b,c,d,e,f가 on이 되어서 0이 보이고 ... 7 Segment & Counter 결과 보고서 실험 목적 BDC -to-7 Segment Decoder와 7 Segment LED를 연결한다.
    리포트 | 26페이지 | 2,000원 | 등록일 2022.04.23
  • 워드파일 실습 10. 7-segment_Decoder 회로 설계
    (조:, 실험날짜: 보고서 제출날짜:) 요약: 7-segment/Decoder 회로 설계를 통해 7-segment와 Decoder의 동작원리를 이해할 수 있었다. ... 아날로그 및 디지털 회로설계 실습 실습 10. 7-segment/Decoder 회로 설계 소속 담당교수 수업 시간 조번호 조원 실습 10. 7-segment/Decoder 회로 설계 ... 실험결과 2.1 7-segment 특성 확인 주어진 7-segment의 Type을 확인하고 Type의 특징을 알아본다. >> 원하는 다이오드를 점등시키기위해 decoder의 출력핀에
    리포트 | 6페이지 | 1,000원 | 등록일 2023.10.17
  • 한글파일 논리회로실험) 7 segment 프로젝트 1 예비
    segment - 7세그먼트 표시기라고 하는 소자는 0에서 9까지의 숫자를 표시하기 위해 만들어진 소자이다. - 막대모양의 LED(Light Emitted Diode) 7개를 8자 ... 여기서 LED가 7개 사용되어 7 segment로 불린다. - 애노드 공통형(common-anode type)과 캐소드 공통형(common-cathode type)의 2가지가 있다. ... segment Decoder -BCD-to-7세그먼트 디코더는 4비트로 구성된 BCD 값을 입력으로 받아들여 7세그먼트 표시기에 사용되는 a, b, CDOTS g 신호를 만들어내는
    리포트 | 6페이지 | 1,500원 | 등록일 2021.10.01
  • 한글파일 디지털시스템설계 7-segments 실습보고서
    실험 제목 FPGA 7-segments 구동 Design 2. ... 오른쪽 7-Segment에 숫자를 출력하는 코드이다. segment를 출력하는 코드이다. ... 이 때, cnt4는 7-Segment 자리를 선택한다. 1초마다 segment 값을 변화시키는 코드이다. regseg 0 ~ regseg 3에는 4개의 segment에 가각 입력되는
    리포트 | 11페이지 | 2,000원 | 등록일 2022.10.28
  • 한글파일 디지털 공학 실험 XILINX 결과레포트 7-segment
    고찰 이번실험은 verilog를 사용하여 7-segment 코드를 작성하고 FPGA board를 통해 검증을 하는 실험이었다. bcd to 7-segment 란 binary decimal ... 실험 제목 [Verilog HDL을 이용한 로직게이트 설계 및 FPGA를 통한 검증(7-segment)] 2. 실험 결과 3. ... 저번과 마찬가지로 코드의 정확한 작성이 매우 중요함을 알 수 있었다. ‘~’ 기호를 실수로 빼먹었는데 simulation을 하는 데에는 딱히 오류가 검출되지 않았지만 FPGA에서 각
    리포트 | 4페이지 | 1,000원 | 등록일 2021.06.21
  • 워드파일 Vivado를 이용한 BCD to 7segment decoder의 구현 예비레포트
    실험 주제 - 7segment와 ALU의 Symbol 및 동작원리를 이해한다. - 7segment를 동작 시키기 위한 BCD-to7segment의 동작원리를 이해하고 Verilog를 ... Vivado를 이용한 BCD to 7segment decoder의 구현 예비레포트 1. ... 실험 제목 1) Vivado를 이용한 BCD to 7segment decoder의 구현 2.
    리포트 | 4페이지 | 1,000원 | 등록일 2022.08.26 | 수정일 2022.08.29
  • 워드파일 [예비보고서] 10.7-segment, Decoder 회로설계
    segment 구동 회로 설계 Decode와 7-segment를 이용한 7-segment 구동 회로를 설계한다. ... 설계실습 계획서 10-3-1 7-segment/Decoder 진리표 아래 7-segment/Decoder 진리표를 작성한다. ... 예비 보고서 설계실습 10. 7-segment / Decoder 회로 설계 10-3.
    리포트 | 4페이지 | 1,000원 | 등록일 2023.01.03
  • 워드파일 우선순위 인코더를 이용한 7-segment 출력
    고찰 BCD/7-segment 디코더 드라이브, 7-segment LED를 연결한 우선순위 인코더의 회로도를 보고 회로를 직접 납땜하여 7-segment LED 디스플레이를 확인해보았다 ... 회로 설명 AND, OR, NOT 게이트와 BCD/7-segment 디코더 드라이버와 7-segment LED를 연결한 우선순위 인코더이다. 2. ... 우선순위 인코더를 이용한 7-segment 출력 201812632 최혜지 1.
    리포트 | 2페이지 | 1,000원 | 등록일 2020.06.08
  • 한글파일 7-segment/ Decoder 회로 설계 실습 예비보고서
    7-segment/ Decoder 회로 설계 10-1 실험 목적 7-segment 와 Decoder를 이해하고 관련 회로를 설계한다. 10-2 실습 준비물 Register 330 Omega8개 ... Decoder 74HC47 1개 Inverter 74HC04 8개 7-segment 1개 Switch 4개 10-3 설계실습 계획서 10-3-1 진리표 입력 출력 A B C D a ... segment 구동 회로 (cathode type사용)\
    리포트 | 3페이지 | 1,000원 | 등록일 2021.01.05
  • 한글파일 최소한의 IC칩을 사용해 7-segment 구동 실험
    분석 및 자료조사3 3. 7-Segment에 대한 진리표 작성8 4. 카르노맵 작성9 5. Quartus를 이용한 회로도 작성13 6. Quartus 시뮬레이션17 7. ... A부터 G까지 7개, DP 1개, 총 8개의 LED가 내장되어 있다. 7-Segment는 동작하는 방식에 따라 Anode와 Cathode Type으로 나누어진다. ... (출력은 되지만 정상적인 숫자가 아니다.) 7-Segment를 최소한의 게이트로 작동시키려면 7-Segment의 진리표를 작성하고 출력이 1이 아닌 0으로 묶고 SOP 식으로 나타내고
    리포트 | 31페이지 | 2,500원 | 등록일 2020.11.15 | 수정일 2022.04.23
  • 파일확장자 자바 디지털시계(7segment) 보고서(소스코드 포함)
    ();c.add(panel);setSize(500,200); //크기setVisible(true);} ... 디지털시계- 소스코드package pjFinal;import javax.swing.*;import java.awt. ... ;public class Digital extends JFrame {Container c = getContentPane();public int p;public Digital() {setTitle
    리포트 | 4페이지 | 1,000원 | 등록일 2020.09.13
  • 한글파일 실습 10 7-segment Decoder 회로 설계 예비보고서
    Segment 구동 회로 설계 Decoder와 7-segment를 이용한 7-segment 구동 회로를 설계한다. ... 실습 10. 7-segment / Decoder 회로 설계 10-1. 실험목적 7-segment와 Decoder를 이해하고 관련 회로를 설계한다. 10-2. ... 설계실습 계획서 10-3-1 7-segment/Decoder 진리표 이론: 7-Segment: 이진수를 십진수로 표현하는 방법으로 7-Segment display(SSD)에 의해 물리적으로
    리포트 | 3페이지 | 2,000원 | 등록일 2022.09.19
  • 파워포인트파일 조도계로 빛의 세기를 7-segment로 크기 표현
    7-segment 를 통해 빛의 상대적 세기를 출력 조도계 3 조 프로젝트 선정 이유 및 목표 빛의 세기를 실제 우리가 알 수 있는 수치로 시각화 하여 표현하는 방법을 생각하던 중 ... CdS ( 황화카드뮴 ) 광센서와 Analog-digital 변환소자 , 1 학기 디지털공학과 기초전자회로 실험 에서 배운 7-segment , 비교기 , 가산기를 활용하여 빛의 세기의
    리포트 | 8페이지 | 3,000원 | 등록일 2020.05.06
  • 워드파일 기초실험1 7 segment counter 결과보고서(틴커캐드)
    결과보고서 학 과 학 년 학 번 조 성 명 전자공학과 실험 제목 7Segment_Counter 실험 결과 1. 7 segment : 7 segment decoder와 7 segment ... 상태가 바뀌는 조건은 clock가 1->0으로 떨어지는 그 시점에만 해당한다. (2) 7 segment-decade counter : 7 segment와 decade counter를 ... 틴커캐드 사이트에서 7 segment LED를 구현하는 것에서 10부터 15까지의 수는 표기하지 않도록 나타낸 것 같다.
    리포트 | 7페이지 | 1,000원 | 등록일 2023.03.12 | 수정일 2023.11.29
  • 파일확장자 A+ 결과보고서-7-segment decoder 회로설계
    리포트 | 2페이지 | 1,000원 | 등록일 2022.03.27
  • 한글파일 논리회로실험 첫번째 프로젝트 BCD to 7segment 가산기 결과
    예를 들어 4개의 7 segment의 경우 Common Pin은 각각의 7 segment 별로 나누어져 있지만, A~h 핀은 4개의 7 segment들이 병렬로 모두 연결되어 있다. ... 설계 목표 BCD, BCD 덧셈, 7 segment에 대해 조사해보고, BCD to 7segment adder를 어떻게 구성할 수 있을까 고민해본다. ... 논리회로설계실험 프로젝트 #1 BCD to 7 segment 가산기 1.
    리포트 | 10페이지 | 1,500원 | 등록일 2021.10.01
  • 파일확장자 의용디지털시스템 IC 병렬 7447 7-segment 작동원리, 사용법
    Q : 7447 7-segment Driver의 사용법에 대해 조사하시오. ... (BI, RBO, RBI 사용법)7세그먼트 표시 장치(Seven-segment display)는 표시 장치의 일종으로, 7개의 획으로 숫자나 문자를 나타낼 수 있다. ... 비슷한 역할을 하는 점 행렬에 비해 단순하기 때문에 전자 회로의 내부적인 수치를 보여주는데 자주 사용된다.7세그먼트 표시 장치는 7개의 선분(획)으로 구성되어 있으며, 위와 아래에
    리포트 | 4페이지 | 2,000원 | 등록일 2020.11.23 | 수정일 2020.12.07
  • 한글파일 랩뷰를 활용한 7세그먼트 설게/랩뷰기초/lab view/7 segment/랩뷰코딩 설계/7세그먼트 설계/
    코딩설명 1) 불리언을 사용한 7 segment 불리언을 사용하여 7 segment의 모양을 디자인한다. 7 segment의 깔끔한 느낌을 위해 클래식 불리언의 ‘사각 빛’을 사용하였고 ... 사진은 처음 코딩하면서 디자인했던, 7 segment의 모습이다. 꺼진 LED의 모습이 눈에 잘 보여서 내가 생각했던 7 segment의 느낌이 아니었다. ... 것을 보자마자 7 segment가 생각났고, 코딩을 통해 프로그램을 구현해보기로 했다. 2) 실습 목표 입력 숫자의 범위는 한 자릿수(0~9)로 제한하여, 하나의 7 segment
    리포트 | 7페이지 | 1,500원 | 등록일 2020.12.26 | 수정일 2021.01.22
  • 파일확장자 A+ 아날로그및디지털회로설계 실습 예보_7-segment decoder 설계
    리포트 | 2페이지 | 1,000원 | 등록일 2022.03.27
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업