• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(9,108)
  • 리포트(8,293)
  • 시험자료(520)
  • 방송통신대(148)
  • 논문(63)
  • 자기소개서(54)
  • 서식(18)
  • ppt테마(9)
  • 이력서(2)
  • 노하우(1)

"16BIT" 검색결과 1-20 / 9,108건

  • 파일확장자 16비트 마이크로 컴퓨터를 사용한 FFT 연산속도 향상에 관한 연구
    본 연구에서는 널리 사용되고 있는 16비트 마이크로 컴퓨터에서 FFT와 같은 디지털 신호처리를 하기 위해 전용연산장치를 구성하여 NEC PC-9801 E에 연결하였다. ... consisted of LSI chips of four high speed multiplier and adde rstractor, and was interfaced with the 16bit
    논문 | 8페이지 | 4,000원 | 등록일 2023.04.05
  • 파일확장자 16비트 마이크로 프로세서를 이용한 계측.제어용 컴퓨터에 관한 연구
    16비트 마이크로 프로세서를 이용하여 계측.제어용의 계산기를 시험제작한 결과 다음과 같은 결론을 얻었다. 1) 본 장치는 필요 최소한의 부품만을 요구하므로 고도의 수학적인 계산능력보다
    논문 | 6페이지 | 4,000원 | 등록일 2023.04.05
  • 파워포인트파일 [마이크로컨트롤러]8th_16비트타이머카운터
    0 0 0 FFFF 즉시 0 이 되는 순간 일반모드 동작 파형 발생 모드 설정 : WGMn3:n0=[0000] - TCNTn : 0xffff → 0x0000 → TOVn bit =1 ... 3 OCR3B (16 비트 ) = OCR3BH (8 비트 ) + OCR3BL (8 비트 ) 타이머 / 카운터 3 OCR3C (16 비트 ) = OCR3CH (8 비트 ) + OCR3CL ... / 카운터 레지스터 타이머 / 카운터 1 TCNT1 (16 비트 ) = TCNT1H (8 비트 ) + TCNT1L (8 비트 ) 타이머 / 카운터 3 TCNT3 (16 비트 ) =
    리포트 | 34페이지 | 1,500원 | 등록일 2022.10.10
  • 한글파일 시스템 프로그래밍 3장 연습문제 와 16비트32비트 차이점
    레지스터의 크기가 16비트일 때 데이터의 크기가 8비트라고 하더라도 1사이클에 읽어오게 되고 전송할 때도 1사이클이 필요로하다. 32비트의 데이터는 2사이클을 거쳐야하기 때문에 16비트의 ... 그렇다면 16 bit16차선을 이용해 동시에 16대의 차가 짐을 나르는 것과 같고, 32 bit 컴퓨터는 32차선을 이용하여 동시에 32대의 차가 집을 나르는 것과 같다. 16bit ... 과제)프로세서 16bit 와 32bit 의 차이점을 설명하라 16bit 와 32bit의 차이점 8 bit 컴퓨터란 2의 8제곱인 256까지의 숫자를 한 번에 다룰 수 있는 컴퓨터를
    리포트 | 2페이지 | 1,000원 | 등록일 2019.12.03
  • 파일확장자 Verilog를 이용한 고성능의 16비트 adder를 설계
    설계목적Verilog HDL을 이용하여 고성능의 16비트 adder를 설계한다.2.
    리포트 | 3페이지 | 1,500원 | 등록일 2020.04.15
  • 파일확장자 FPGA 16스위치에서 7세그먼트출력 & 4비트가산기에서 7비트세그먼트출력
    파형에 대한 토의A와 B와 c_in을 전가산기를 이용하여 합산하여 나온 출력값을 dis_seg 을 이용하여 출력 c_out이 최상위비트이고 출력 s인 4bit를 더해서 총 ... 5bit가 나오는 4bits 가산기(스위치입력)의 5개 7-segments 출력이 나 온 파형이다. ... 핀플래너에 입력값에 대한 핀번호는 교수님께서 올려주셔서 그대로 대입했지만 출력값에 대한 핀번호는 가르쳐주시지 않으셔서 임의로 seven segment digit에서 y0에 대한 값을 14bit이기
    리포트 | 10페이지 | 1,500원 | 등록일 2020.10.22 | 수정일 2021.04.15
  • 워드파일 16비트 카운터의 읽기쓰기 동작에 대하여 설명하시오
    16비트 카운터의 읽기 / 쓰기 동작에 대하여 설명하시오 8비트 마이크로프로세서에 16비트의 병렬 입출력 장치를 접속하기 위한 16비트 병렬 입출력 인터페이스 회로를 설계할 경우에는 ... 이들 입출력 동작 사이에 시차가 발생하기 때문인데요. 16비트 동작을 하기 위해 취해지는 동작에 대해서 설명을 해드리면 8비트 CPU에서 16비트의 병렬 출력장치를 접속하기 위한 16비트 ... 실제로 출력장치로 출력되는 데이터가 동시에 16비트로 출력되도록 하는 게 중요한데요. 16비트의 D/A 컨버터를 위하여 하위 바이트에 래치1을 접속하고 상위 바이트에 레치2릅 접속하였으며
    리포트 | 2페이지 | 3,000원 | 등록일 2019.09.23
  • 한글파일 [ATmega128]마이크로프로세서 16비트타이머카운터 결과보고서
    ATmega128의 16bit Timer&Counter -ATmega128에는 16비트의 타이머/카운터1과 타이머/카운터3을 내장하고 있다. ... 4,3 비트 : 총 16개의 파형 발생 모드 설정 - TCCR B 레지스터 비트별 기능 - TCCR C 레지스터 비트별 기능 -타이머/카운터1,3의 16비트 카운터 값을 저장하고 ... ※bottom : 16비트 타이머/카운터가 가질 수 있는 최솟값(0x0000) max : 16비트 타이머/카운터가 가질 수 있는 최댓값(0xffff) top : 동작 모드에 따라 타이머
    리포트 | 12페이지 | 1,500원 | 등록일 2020.01.01 | 수정일 2021.02.22
  • 파일확장자 16bit 가산기 / 16bit adder / Verilog code / 베릴로그코드 설명 결과보고서 포함 / ASIC 설계 / 논리회로 / 디지털 설계
    모듈의 Hierarchical structure는 이와 같고, sub module들은 개별 file(*.v)로 저장했다. 16-bit adder의 입력은 16 bit augend ... , 16 bit addend 그리고 Input carry이다. ... 설계방법 설계한 16-bit adder는 add16을 root module로 하고, 4개의 sub-module인 add4로 구성되어 있다.
    리포트 | 3페이지 | 2,000원 | 등록일 2020.10.17
  • 파워포인트파일 [비트코인 PPT배경양식] - 비트코인 가상화폐 코인시장 암호화폐 업비트 코인원 이더리움 리플 채굴 전자지갑 거래소 블록체인 핀테크 BITCOIN 빗썸 나카모토 사토시 PPT템플릿 파워포인트 디자인배경 [16대9비율]
    P ower P oint T emplate Please enter a title. Unauthorized distribution is prohibited assumptions or design of their power points . Take advantage of ..
    ppt테마 | 92페이지 | 1,500원 | 등록일 2021.03.30
  • 한글파일 16Bit Carry Look Ahead Adder
    Ahead 소스 그림 16Bit Carry Look Ahead 소스 그림 Test Bench 소스 그림 16Bit Carry Look Ahead Adder 설계를 위한 소스를 모델심으로 ... 그러므로 16Bit Carry Look Ahead Adder설계 또한 잘 했다고 할 수 있다. ... 16Bit Carry Look Ahead Adder Carry generation function과 Carry propagation function을 정의한 소스 그림 Carry Look
    리포트 | 3페이지 | 1,500원 | 등록일 2015.12.07 | 수정일 2015.12.10
  • 한글파일 16bit CLA Adder Design
    16Bit CLA Adder Design (Verilog) 1. 16bit CLA Logic ▶ Full Adder (FA) ▲ Full Adder의 Truth tableA B ... 16bit의 Adder와 CLA 모듈 5개의 Assign을 하여 최종 16bit CLA Adder Design (Code) ? tb_CLA.v ? ... 설계 과정에서는 우선 4 bit CLA를 설계한 후, 이를 연결하여 16 bit CLA를 완성하였다. ? CLA.v ?
    리포트 | 7페이지 | 3,000원 | 등록일 2013.05.27
  • 한글파일 Digital Design(16Bit ALU)
    ◆ 안드로이드 응용 프로그램 개발환경(Linux) Developement Tool : 크로스 컴파일러(arm-linux-gcc 4.4.1) VM Ware를 사용하여 가상 OS(Ubuntu)를 설치하고 Linux기반의 Android 개발환경을 조성한다. 설치 후 root..
    리포트 | 7페이지 | 3,000원 | 등록일 2013.06.09
  • 파워포인트파일 16비트 게임기 시대
    epoch atari fairchild 8bit to 16bit 3 1988 16bit Megadrive 1984 8bit Famicom 62,910,000 sold 8bit VS ... Since introduction of the 16bit game by NEC and Sega Not in a hurry to enter the 16-bit market Sell existing ... 16bit 4 Ricoze N2A03 2MHz Motorola MC68000 8MHz Graphic Process Unit Z80 x 2 X 4 VS 4color 16color NEC
    리포트 | 17페이지 | 1,000원 | 등록일 2013.04.29
  • 파일확장자 16비트 ALU
    로지웍스(logicworks)로 구현한 16비트 ALU입니다.
    리포트 | 1,500원 | 등록일 2010.05.23
  • 파일확장자 16비트 순차 나눗셈기 (verilog)
    verilog로 구현한 8비트 순차 나눗셈기로 링카운터,뺄셈기,쉬프터,레지스터,등가비교기를 이용하여 몫을 찾고 나머지값을 구합니다.
    리포트 | 10페이지 | 3,000원 | 등록일 2013.11.05 | 수정일 2013.11.09
  • 워드파일 Nintendo in 16-bit Systems
    Nintendo in 16-bit Systems Memo 1. ... This way can reduce the entry time to 16-bit game market. ... Also they have to sacrifice the 16-bit video game market for a while.
    리포트 | 2페이지 | 1,000원 | 등록일 2013.06.17
  • 파일확장자 파이프라인 16비트 곱셈기
    리포트 | 2,000원 | 등록일 2013.04.08
  • 파일확장자 16비트 컴퓨터 설계
    경희대학교 컴퓨터구조 16비트 컴퓨터설계도면 입니다.
    리포트 | 20페이지 | 10,000원 | 등록일 2010.06.23 | 수정일 2017.06.14
  • 파일확장자 16bit checksum & algorithm
    ppt 및 프로그램 있음 파일열기 Bmp파일 읽기 이미지데이터를 읽기 10진수 데이터를 16진수로 변환 에디트 박스에 출력
    리포트 | 6페이지 | 4,000원 | 등록일 2012.11.13
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업