• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(13,697)
  • 리포트(11,937)
  • 자기소개서(734)
  • 시험자료(587)
  • 방송통신대(248)
  • 논문(165)
  • 서식(22)
  • 이력서(2)
  • ppt테마(2)

"신호등제어" 검색결과 1-20 / 13,697건

  • 파일확장자 PIC Microcontroller를 이용한 신호제어 설계
    해양환경안전학회 해양환경안전학회 학술대회 논문집 양윤석, 브엉득푹, 안병원, 배철오
    논문 | 3페이지 | 3,000원 | 등록일 2023.04.05 | 수정일 2023.04.06
  • 한글파일 교통신호제어기 VHDL 설계
    ▣ 교통신호제어기 설계 Ⅰ. 수행 및 제출(1) Ⅱ. 수행 및 제출(2) Ⅲ. 수행 및 제출(3) Ⅳ. ... 수행 및 제출(4) 교통신호제어기에는 대기 모드(standby mode), 평시 모드(정상 동작), 테스트 모드로 총 3가지의 동작 모드가 존재한다. ... 똑같은 방법으로 RY, GR, YR 다시 RG 순서로 신호가 흘러가는데 YY 상태, 즉 대기 상태가 해체되면 RY상태로 전환이 되서 RY상태부터 신호등이 정상적으로 시작될 것이다.
    리포트 | 4페이지 | 2,500원 | 등록일 2022.05.26 | 수정일 2022.12.15
  • 파일확장자 A+학점인증 디지털시스템설계 프로젝트 Traffic Lights Controller 신호제어기 보고서(코드, 설명 포함)
    .--7 segment display signals--For 7 segment transform BCD forms: 7-segment를 표현하기 위한 신호와 BCD와 값을 일치시키기
    리포트 | 6페이지 | 5,000원 | 등록일 2021.04.07
  • 파워포인트파일 신호등제어회로
    권상 제어 회로 2. 컨베이어 제어 회로 3. 양수 펌프 제어 회로 5. 전동기 운전 회로 4. 신호제어 회로 단원 지도 계획 OHP 및 LCD 프로젝터 차시안내 5. ... 이 시간의 학습목표 신호등 동작원리를 말할 수 있다. 신호제어 회로를 만들 수 있다. ... 정지 신호 PBS2를 줄 때까지 반복한다. 신호제어 시퀀스도 각 부 동작 설명 PBS1을 ON-OFF 조작하면 R1과 T1이 여자 되어 신호제어 회로의 동작이 시작된다.
    리포트 | 24페이지 | 1,500원 | 등록일 2010.12.20
  • 한글파일 사거리 신호등제어 동작설명
    예제: 좌회전신호가 있는 사거리 신호등제어 1. 동작 A 차로는 좌회전→적,황색→청색→적색순으로 신호등이 변경되고 이때 B 차로는 적색이 점등됩니다. ... A 차로 보행신호등은 A 차로 차량 신호등이 적색이고 B 차로 청색등일때 10 초간 점등됩니다. ... B 차로 보행신호등은 B 차로 차량 신호등이 적색이고 A 차로 청색등일때 10 초간 점등됩니다.
    리포트 | 4페이지 | 5,000원 | 등록일 2013.09.05 | 수정일 2017.01.15
  • 한글파일 [A+자료] 신호제어
    실험제목 교통신호제어기 2. 실험개요 교통량이 많은 사거리가 있다. ... (신호등 4개, 횡단보도 4개) 신호등의 점멸 순서는 ←G(좌회전과 녹색등) ⇒ YG(황색등과 녹색등) ⇒ R(적색등)의 순서로 켜진다. ... (←G는 장기신호, YG와 R은 단기신호) 횡단보도로 보행자가 건널 수 있는 경우는 North 방향을 기준으로 했을 때, 차 신호등의 좌회전과 녹색등이 켜져 있는 동안에 West 방향의
    리포트 | 2페이지 | 2,500원 | 등록일 2012.06.17
  • 한글파일 신호제어 테스트
    종 합 설 계 ( 한백 키트를 이용한 신호제어 테스트 ) 과목 : 학과 : 학번 : 성명 : 지도교수님 : 1. ... 삼거리 신호제어 소스 module CONTROL(CLK,RST,B1,G1,Y1,R1,B2,Y2,R2,G3,Y3,R3); input CLK,RST; output B1,G1,Y1,R1
    리포트 | 6페이지 | 2,000원 | 등록일 2009.07.20
  • 한글파일 LED 제어신호등 만들기
    실험 제목 LED 제어신호등 만들기 2. ... 만들기 6) 신호제어 프로그램 #include // I/O 가 정의되어 있는 헤더 파일 // 일정 시간 지연 함수 void delay (unsigned long i ... // X1 스위치="1"인 경우 else temp = 0xff; // X0,X1 스위치="0"인 경우 }while(1); // 무한 루프 4) LED 흐름도 및 작동 사진 5) 신호
    리포트 | 7페이지 | 1,000원 | 등록일 2011.10.13
  • 한글파일 신호등제어기 Verilog
    신호제어기】 문제 - 신호제어기 교차로에서 신호등이 4개가 있음. ... 따라서, 4개 신호등은 2개의 신호등으로 제어기를 만들면 된다. 즉,제어기 2개 쓰면 4개까지 control가능하다. ... (좌회전은 고려하지 않음) 신호등은 녹, 주, 빨이 있는데 녹색은 10초, 주황색은 2초, 빨간색은 12초 동안 유지하도록 신호등을 제어하시오. ① State Diagram 북쪽과
    리포트 | 5페이지 | 2,000원 | 등록일 2009.04.21
  • 한글파일 FPGA 디지털 시스템 설계 : 신호제어기 설계
    신호제어기 설계 1. ... 끊이지 않도록, 농로에 차가 있을 경우에만 신호를 바꾸는 제어기를 설계하고자 한다(좌회전, 우회전 등의 신호는 고려하지 않음). ... 고찰 본 과제에서 신호제어기를 Moore state machine으로 구현하였는데, Moore state machine은 출력이 state에 따라 나타나기 때문에 다음 state를
    리포트 | 2페이지 | 1,000원 | 등록일 2012.06.18
  • 한글파일 4차선 신호제어기 설계를 위한 VHDL 코드 및 결과보고서
    실습 제목 : 4차선 신호제어기 설계2. ... 실습 목적 : 앞서 배운 코드에 대한 이해와 순차회로 및 클럭의 역할을 공부함으로써 특정 주기로 LED와 7-SEGMENT를 제어하는 4차선 신호제어기를 설계함으로써 그 내용들을 ... 실습 내용 :(1) 4차선 신호제어기 설계를 위한 VHDL 코드library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all
    리포트 | 12페이지 | 10,000원 | 등록일 2015.06.23 | 수정일 2015.09.07
  • 파일확장자 [디지털시스템] 신호제어
    일상생활에주로사용되고쉽게접할수있는사거리신호등제어기를제작. TTL-IC를이용하여직접회로설계하고PCB위에구현하여동작.
    리포트 | 20페이지 | 5,000원 | 등록일 2008.12.27
  • 파워포인트파일 vhdl 센서를 이용한 신호제어
    (적색) A도로신호등(황색,FND 0) B도로신호등(적색) A도로신호등(적색) B도로신호등(녹색,FND 8) A도로신호등(적색) B도로신호등(녹색,FND 7) A도로신호등(적색) B도로신호등 ... (적색) B도로신호등(녹색,FND 2) A도로신호등(적색) B도로신호등(황색,FND 1) A도로신호등(적색) B도로신호등(황색,FND 0) A도로신호등(녹색) B도로신호등(적색) A도로신호등 ... (녹색,FND 5) A도로신호등(적색) B도로신호등(녹색,FND 6) A도로신호등(적색) B도로신호등(녹색,FND 4) A도로신호등(적색) B도로신호등(녹색,FND 3) A도로신호
    리포트 | 11페이지 | 2,000원 | 등록일 2008.11.12
  • 한글파일 [교통신호 제어시스템]신호
    교통신호 제어시스템 설계 -프로젝트 결과보고서- -9조- 20055290 정승호 20055287 정경현 1.프로젝트의 목적 - 이 프로젝트는 클럭 발생기와 카운터와 디코더와 엔코더를 ... 하지만 좌,우 신호등 또한 서로 항상 신호가 같기 때문에 원래 신호등은 4신호 신호등은 4개이지만 표현식으로는 2개로 표현함으로서 간단히 할 수 있다. ... 즉, 서로 마주 보고 있는 신호등끼리 같은 신호를 받게 될 것 이다. 횡단보도 신호등 왼쪽 그림과 같이 횡단보도 신호등이속하는 타이머 회로이다.
    리포트 | 23페이지 | 2,500원 | 등록일 2006.10.28
  • 파워포인트파일 MPU를 이용한 신호제어, 교차로 신호등 구현, 8051을 이용한 신호제어
    설계 정의 및 목표 1) 개요 8051를 이용하여 4거리 교통 신호 등과 횡단보도 신호를 설계하고 실제 신호등과 똑같이 구현. 1. ... 설계 정의 및 목표 2) 설계 목표 - 교통 신호등 구현 - 횡단보도 신호등과 음성 출력 - 녹음/재생 IC ISD2532 와 8051 간의 인터페이스 구현 2. ... 구현 - 횡단보도 신호등과 음성 출력 - 녹음/재생 IC ISD2532 와 8051 간의 인터페이스 구현 8051메인보드를 이용하여 4거리 교통신호등의 모형 구현함 자동차신호는 적색
    리포트 | 28페이지 | 1,000원 | 등록일 2008.04.11 | 수정일 2015.09.30
  • 한글파일 자일링스(Xilinx)를 이용한 신호제어기 설계
    2) 센서를 통한 교통시스템 제어 교통신호등을 보면 빨간색,초록색,노란색, 좌회전 등이 반복되는 것을 볼 수 있다. ... 내용 2.1 목표설정 사거리 신호제어 (4거리에 신호등이 있고, 그에 따른 횡단보도가 각각 있으며, 센서로 교통량을 감지) 우선 위에서 보이는 것처럼 신호등은 빨강, 노랑, 좌회전 ... , 초록의 4개의 신호를 가진다. 1) 사거리 제어와 횡단보도 우선 왼쪽의 그림을 보면 사거리에 4개의 신호등이 있고 8개의 횡단보도가 있는것을 볼 수 있다.
    리포트 | 8페이지 | 2,000원 | 등록일 2010.06.09
  • 파일확장자 [Flowrian] 신호제어 회로의 Verilog 설계 및 시뮬레이션 검증
    .- 타이머 : RT 수준 Verilog 설계 및 시뮬레이션 검증- 신호제어 유한상태머신 : RT 수준 Verilog 설계 및 시뮬레이션 검증- 최상위 신호제어 회로 : 구조수준
    리포트 | 16페이지 | 1,500원 | 등록일 2011.10.03
  • 워드파일 FPGA를 이용한 디지털 시스템 설계(인하대) Traffic Light Controller 신호제어기 보고서
    이번에 설계하는 신호제어기는 고속도로(Highway)와 국도(Farmway)의 교차로를 모델로 하여 국도 양 끝에 존재하는 센서의 입력에 따라 신호등의 상태가 결정되도록 제어하는 ... 고찰 이번 실험에서는 신호제어기 모듈을 설계하였다. ... 실험이론 이번 실험에서는 신호등을 제어하는 제어기를 설계할 것이다. 이를 설계하기 위해 유한상태머신이라는 개념을 이용할 것이다.
    리포트 | 9페이지 | 2,000원 | 등록일 2014.11.26 | 수정일 2015.09.30
  • 한글파일 플립플롭으로 신호등 만들기 제어(디지틀논리)
    목표 : 플립플롭을 이용한 신호제어기 작성. ◆ Flip-Flop 4bit동기 업 카운터를 만들기 위하여 JK F/F를 사용. ... 제어신호를 정해진 순간에 발생시키기 위해서 타이밍 신호를 이용한다. ... 제어 진리표> CR : (적색신호) CY : (황색신호) CL : (좌회전신호) CG : (청색신호) SR : (횡단보도 적색 신호) SG : (횡단보도 청색 신호) SGG : (
    리포트 | 13페이지 | 2,000원 | 등록일 2009.11.15
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업