• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(3,785)
  • 리포트(3,414)
  • 시험자료(165)
  • 자기소개서(87)
  • 방송통신대(81)
  • 논문(23)
  • 서식(6)
  • ppt테마(5)
  • 이력서(3)
  • 노하우(1)

"세그먼트" 검색결과 61-80 / 3,785건

  • 한글파일 마.프 응용실험(7세그먼트)
    그러나 이런 간단한 실험을 통해서도 우리 일상생활에서 7세그먼트가 어떤식 으로 이용되는지 LED가 어떻게 발전해왔는지를 알 수 있었던 유익한 실험이었던거 같다. ※ 7세그먼트 실생활 ... 처음에 7세그먼트 회로를 구성하고 젤 처음으로 해보아야 될것이 CA형인지 CC형인지를 판별하는 것 이었다. ... ■ 실험결과 숫 자 입력 스위치 패턴 (a,b,c,d,e,f,g,Dp) 7세그먼트 LED 점등패턴 0 00000011 1 10011111 2 00100101 3 00001101 4
    리포트 | 3페이지 | 1,000원 | 등록일 2012.11.12
  • 파워포인트파일 은행창구 결과보고서(세그먼트)
    * Proposal for Segment - Bank Waiting Issuance System - 4team * Table of Contents ▪ Design Object ▪ Flowchart ▪ The final schematic ▪ Design Work (Pho..
    리포트 | 21페이지 | 3,000원 | 등록일 2012.11.01
  • 한글파일 논리회로와 7세그먼트
    그러므로 7-세그먼트를 선택할 경우에는 필요한 LED가 AC형인지 CC 형인지를 확인하여야 한다. ◆ 7세그먼트 표시 장치 - 다음은 일반적으로 각 숫자에 해당하는 7세그먼트 표시 ... 대비가 높고 인식하기 쉽기 때문에 계산기 등에서 자주 쓰인다. 7세그먼트 표시 장치가 표현할 수 있는 문자를 넓히기 위해 사선을 추가한 14세그먼트 표시 장치와 16세그먼트 표시 ... 장치의 모습이다. ◆ 7-세그먼트의 구동방식 - 7-세그먼트는 정적 구동방식과 동적 구동방식으로 구동할 수 있다.
    리포트 | 11페이지 | 1,500원 | 등록일 2010.05.20
  • 한글파일 7세그먼트에 관한 보고서
    7-segment 1. 7세그먼트 LED의 구조 및 종류 7개의 LED 세그먼트로 구성되며, 소수점을 표현하는 dp. ... 7세그먼트 표시기를 위한 디코더이다. ... 여기서 막대 모양의 LED 하나하나를 세그먼트(segment)라고 하며, LED가 7개 사용 됨으로 7세그먼트 표시기라고 이름이 붙여졌 다.
    리포트 | 8페이지 | 1,000원 | 등록일 2011.06.24
  • 파워포인트파일 은행창구 제안보고서(세그먼트)
    Proposal for Segment - Bank Waiting Issuance System - Table of Contents ▪ Design Object ▪ Flow Chart ▪ Need goods ▪ Theory ▪ Design Problems and Ieda..
    리포트 | 16페이지 | 2,000원 | 등록일 2012.11.01
  • 파워포인트파일 온도 센서를 이용한 7세그먼트출력
    온도 센서를 이용한 7세그먼트출력 * 1 만들게 된 계기` 2 온도센서란? 3 7세그먼트란? ... 4 계획 - 세부 계획 5 개발 환경 6 문제점 * 온도센서를 이용한 7세그먼트와 LCD출력 자취 하는 방의 온도를 알길이 없어서 온도를 안다면 그 온도에 따라 적절하게 방의 온도를 ... 조절하고 옷입는 상태를 정할수 있다 만들게 된 계기 * 온도관리를 자동화 온도 센서란 그림 출처: www.easybyusb.co.kr * 7세그먼트란 0~9까지의 숫자를 디지털 방
    리포트 | 14페이지 | 3,000원 | 등록일 2012.06.17
  • 한글파일 Logic works를 이용한 7 세그먼트 표현
    X X X 1 1 0 0 X X X X X X X 1 1 0 1 X X X X X X X 1 1 1 0 X X X X X X X 1 1 1 1 X X X X X X X 1. 7 세그먼트
    리포트 | 14페이지 | 1,500원 | 등록일 2012.07.25
  • 한글파일 Term Project (7세그먼트 실험) 보고서
    실험목표 7세그먼트(FND)에 대해 알아보고 7세그먼트 디코더로 작동하는 논리회로를 구성하여 동작을 실험하고 결과를 관찰한다. 2. ... 실험이론 1) 7세그먼트(FND) 7세그먼트는 LED 8개가 내장되어 있는 소자로, 7개의 LED를 조합하여 숫자와 문자를 표시하고 1개의 LED로 점을 표시한다. ... 결론 및 분석 ① 실험과정 실험 절차에 따라 진리표를 작성하기 위해 출력될 숫자와 문자의 모양을 먼저 그려본 후에 아래와 같이 진리표를 작성하였다. 7세그먼트 디코더 입력신호 7세그먼트
    리포트 | 13페이지 | 2,000원 | 등록일 2013.05.07
  • 한글파일 7-세그먼트
    여기서 막대 모양의 LED 하나하나를 세그먼트(segment)라고 하며, LED가 7개 사용됨으로 7세그먼트 표시기라고 이름이 붙여졌다. ... 실험목적 (1) 7-세그먼트의 구조 및 동작 개념을 이해한다. (2) 7447 7-세그먼트 구동 디코더의 구조 및 사용 방법을 확인한다. (3) 7447 응용 회로를 구성하고 동작을 ... 이론 (1) 7-세그먼트 ☞ 7세그먼트 표시기라고 하는 소자는 0에서 9까지의 숫자를 표시하기 위해 만들어진 소자로 막대모양의 LED(Light Emitted Diode) 7개를 8자
    리포트 | 6페이지 | 1,000원 | 등록일 2008.04.15
  • 한글파일 8-세그먼트 디스플레이 구현
    굵은 실선으로 표시된 부분은 세그먼트가 점등되었음을 나타내고 점선으로 표시된 부분은 세그먼트가 점등되지 않았음을 나타낸다. 숫자 6, 9에 대해선 두 가지 표현 방법이 있다. ... 즉, 관계한 한 세그먼트를 점등할 수도 있고 안할 수도 있다. ... 숫자 ABCD(입력) 세그먼트(출력) 숫자 ABCD(입력) 세그먼트(출력) 0 0000 X1 X2 X3 X4 X5 X6 8 1000 X1 X2 X3 X4 X5 X6 X7 1 0001
    리포트 | 9페이지 | 2,000원 | 등록일 2010.11.03
  • 한글파일 Verilog 세그먼트 카운터 소스
    1.Verilog 세그먼트 카운터 소스 `define SEGMENT_0 7'b000_0001 `define SEGMENT_1 7'b111_1110 `define SEGMENT_2 7 ... 8'b10000000: 8'b10000000; endmodule
    리포트 | 3페이지 | 2,000원 | 등록일 2009.07.20
  • 한글파일 세븐 세그먼트의 정의와 특성
    세븐 세그먼트의 정의와 특성 1. ... 특성 세븐세그먼트의 고유한 특징 때문에 몇가지 특성이 있는데, 그중 하나가 A~G까지 각각의 세그먼트들은 모두 개별적으로 연결되어 있으며, 개별적으로 저항이 연결되어 있는점이다. ... 개별적으로 저항이 연결되어 있다는 뜻은 각 세그먼트들의 밝기를 일정하게 유지함인데 만약, 전원부에 저항이 연결되어 있다면 만약 ‘1’을 표현함에 있어서는 2개의 세그먼트가 필요해서
    리포트 | 2페이지 | 1,000원 | 등록일 2009.04.29
  • 파워포인트파일 세븐세그먼트를이용한 디지털락
    Digital lock 실험 최종 발표 목차 실험 목적 소자 및 재료 회로도 회로구동 원리 P-spice 결과 실험 제작 과정 결론 및 도출 실험 목적 D-FF과 기본 논리소자(and, or, not)의 응용. 회로도 및 회로 구동원리에 대한 이해. 실생활에 응용 되는..
    리포트 | 17페이지 | 1,000원 | 등록일 2010.06.18
  • 한글파일 디지털회로 실험 7-세그먼트 결과 레포트 (시뮬레이션)
    7-세그먼트 디코더 실험결과 1. ... 실험과정 5.4의 결과에 따라서 진리표를 만들고, 7-세그먼트 LED의 출력을 사진으로 첨부하시오. 4진수 : 0 , B : 0, A : 0일때의 7-segment 시물레이션 4진수
    리포트 | 4페이지 | 1,000원 | 등록일 2013.12.09
  • 한글파일 세븐 세그먼트
    세그먼트를 a, b, c, d, e, f, g로 나눌 수 있다. 7-세그먼트는 크게 두 가지로 나눈다. ... 공통 음극과 공통 양극 7-세그먼트의 내부 회로를 아래에 그려 놓았다. 또한 이런 7-세그먼트에 따라 각각 제어기가 틀려진다. ... 흔히 이 제어기를 7-세그먼트에 따라 각각 제어기가 틀려진다. 흔히 이 제어기를 7-세그먼트 디스플레이 디코더라 한다. 이런 일을 하는 IC에는 7446과 7447등이 있다.
    리포트 | 4페이지 | 1,000원 | 등록일 2004.12.09
  • 한글파일 BCD-7세그먼트 디코더 논리회로 설계보고서
    설계 목표 - 7세그먼트에 표시기라는 소자 및 BCD 코드에 대한 이해 - 이론을 바탕으로 BCD-7세그먼트 논리회로를 구성 배경이론 7세그먼트 표시기라고 하는 소자는 0에서 9까지의 ... 설계 제목 - BCD-7세그먼트 디코더 논리회로 ? ... 여기서 막대 모양의 LED 하나 하나를 세그먼트(segment)라고 하며, LED가 7개 사용됨으로 7세그먼트 표시기라고 이름이 붙여졌다.
    리포트 | 5페이지 | 1,000원 | 등록일 2014.06.03
  • 한글파일 세그먼트(7segment) 실험보고서
    이 실험에서는 7 세그먼트 디코더 드라이버와 7세그먼트 LED, IC동작에 대하여 실험 하였다. 7개의 조명편을 아래 그림과 같이 배치하고, 그 몇 개를 선택하여 조광함으로써 0에서 ... 이들 칩을 BCD to 7-Segment Decoder/Driver이라고 부른다. - 세그먼트 방식의 숫자 표시 소자로서 최대 7개의 세그먼트로 숫자를 표시하는 방식. 7개 모두 통전하면 ... 이 회로는 9490A의 BCD 2) 7-세그먼트는 에노드 타입과 캐소드 타입으로 나뉘며, 에노드 타입은 포토다이오드의 에노드 쪽이 공통으로
    리포트 | 7페이지 | 2,000원 | 등록일 2011.11.21
  • 파일확장자 아트메가128 자동먹이시스템(서보모터,초음파센서,타이머,세그먼트,스위치)
    atmega128을 이용한 자동먹이시스템입니다. 1. 타이머를 이용하여 일정시간마다 서보모터가 회전하여 먹이를 줍니다. 2. 스위치를 이용하여 시간을 줄이거나 늘릴 수 있고, 모터 회전 수를 조정해서 먹이량을 조절합니다. 3. 초음파 센서를 이용하여 먹이가 일정..
    리포트 | 3,000원 | 등록일 2015.10.14 | 수정일 2017.08.11
  • 한글파일 디지털로직실험 BCD(binary coded decimal), 7세그먼트 수체계 레포트
    BI에 LOW level이 적용되었을 때 모든 세그먼트 출력은 다른 어떤 입력 상태에도 상관없이 HIGH level이 된다.(즉, 세그먼트에 아무 표시도 나타나지 않는다.) ... 다음 그림을 보면 디코더의 14번 핀이 g출력이고 MAN72 7-세그먼트의 g입력으로 연결된다는 걸 볼 수 있다. 7-세그먼트의ED의 극성이 반대로 연결된 경우를 생각할 수 있다. ... BCD 수를 디코딩 하고 7-세그먼트로 표시해 주는 디지털 시스템 구성 모의실험용으로 결합을 만들어 놓은 회로의 고장 진단.
    리포트 | 9페이지 | 3,000원 | 등록일 2015.06.03
  • 한글파일 7세그먼트 LED 응용 프로그램 [마컴 예비레포트]
    point) 세그먼트를 가지는 모델의 경우에는 모두 8개의 세그먼트로 구성된다. ... 실험 이론 ⑴ 7세그먼트 LED의 구조 및 종류 7세그먼트는는 기본적인 출력장치로 사용하여 와ㅤㅏㅆ다. 7개의 LD+ED 세그먼트로 구성되며, 소숫점을 표현할 때 사용하는 dp(dot ... LED에는 1개의 소자로 1자리의 문자만을 표시하는 것에서부터 여러자리의 문자를 표시할 수 있는 것까지 다양한 모델이 있다. 7세그먼트 LED는 각 세그먼트를 구성하는 LED의 회로구성에
    리포트 | 16페이지 | 1,000원 | 등록일 2012.05.22
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업