• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(696)
  • 리포트(630)
  • 시험자료(31)
  • 서식(14)
  • 방송통신대(11)
  • 자기소개서(7)
  • 논문(3)

"그레이 코드" 검색결과 1-20 / 696건

  • 파일확장자 아주대학교 논리회로 / VHDL 설계 과제 보고서 (4 bits Gray to Binary code conversion)
    논리회로 설계 내용 및 동작 원리 설명A) 알고리즘4비트 그레이 코드를 4비트 2진 코드로 변환 하 는 일반적인 알고리즘은 다음과 같다 .1. 4비트 그레이코드를 입력한다.2. ... 따라서 각 4비트 그레이코드에 해당하는 2진코드를 확인할 필요가 있다. 이를 본 과제의 주제에 맞춰 진리표 형식으로 표현하면 다음과 같다. ... 출력된 B1와 G0 (LSB)의 입력값을 XOR 연산하고 B0 (LSB)에 출력한다.B) 진리표와 최소식위 방식은 그레이코드를 4비트 2진코드로 변환하는 일반적인 방법이다.
    리포트 | 4페이지 | 1,000원 | 등록일 2020.05.29 | 수정일 2020.06.05
  • 한글파일 이산수학 과제 gray code 관련
    따라서 gray code임을 확인 할 수 있고 gray code를 써보면 다음과 같다. 따라서 위에서 첫 번째 방법으로 구한 gray code와 같음을 알 수 있다. ... 또한 gray code를 그 분야에서 사용해야만 하는 gray code만의 장점이 무엇이 있는지 알아보겠다. gray code는 일반적으로 서로 인접한 두 값이 한 비트만 다르기 때문에 ... 이 때, gray code의 성질에 대해서 다시 한 번 생각해 볼 수 있게 된다. gray code는 단계별 변화량이 1밖에 되지 않기 때문에 이진법이나 다른 code와는 달리 입력
    리포트 | 3페이지 | 1,500원 | 등록일 2013.04.28
  • 한글파일 Gray code converter design - 디지털회로설계 프로젝트1
    한 자리만 다르도록(1bit씩 증가하도록) 하는 숫자 표시 방법이다. (3)Gray code의 필요성 Binary codeGray code로 바꾸는 과정이 필요한 이유는 Gray ... 설계제목: Gray code converter design 2. ... 이처럼 0과 1로만 표현하는 것을 이진코드(바이너리코드)라고 하며, 컴퓨터 내부적으로 이용하는 코드이다. (2)Gray Code 2진 표시된 연속하는 수의 체계로, 인접하는 어느 표시도
    리포트 | 11페이지 | 1,500원 | 등록일 2014.02.17
  • 워드파일 gray code - binary code 변환
    Homework1 < Gray code conversion > 제목 3-Input Binary to Gray code conversion 개요 3개의 binary input 이 입력이 ... 필요한 이유는 binary code에 비해서 gray code가 오류가 작기 때문이다. ... Binary to gray conversion 하기 위해서 binary code의 3개의 bit을 input으로 받고, gray code의 3개의 bit을 output으로 내놓기 위해
    리포트 | 4페이지 | 1,000원 | 등록일 2006.11.15
  • 파일확장자 동기식 16비트 Gray Counter 로직 설계 소스 코드
    그레이 카운터의 정상동작을 하기 위해 1비트만 로직 1이 구동되도록 한 시스템 함수 $onehot 을 이용하여 동작의 유효성을 확인한다. ... 연속 카운터 값사이에 1비트의 값만 변화(토글)시키면서 상태값을 증가(감소)시키는 Gray 계수기를 System Verilog를 이용하여 설계파일을 구현한다.
    리포트 | 3,000원 | 등록일 2013.02.17
  • 한글파일 논리회로실험 - 제 7장 3비트 updown counter로 binary와 gray 코드로 설계 결과보고서
    (Binary code & Gray code) (1)state table (2)state diagram 5)Binary/Gray counter -Binary counter 10진법을 ... 구성하는 기본 설정 (1)설계 사항 -Binary/Gray code를 설계한다. ... -Gray counter 000부터 bit가 하나만 변하게 설정된 코드이다.
    리포트 | 20페이지 | 1,000원 | 등록일 2014.08.15
  • 파일확장자 [디지털 시스템 설계] 코드변환기 (BCD-> gray, BCD-> excess-3 code)
    - Excess-3 code(3초과 코드) : BCD코드에 3을 더해서 만든 code이다. - Gray code : 인접한 수가 한 비트만 변하여 만들어진 코드이다. ... 한편 Gray code는 BCD code로부터 만들어진 코드가 아니고 네 자리중 한자리씩만 변한 code이므로 각 code의 상호관계를 쉽게 알 수 없다. ... 코드 변환기를 제작하기 위해 BCD와 Excess-3 code와의 관계, BCD와 Gray code와의 관계를 알아보아야 한다.
    리포트 | 8페이지 | 1,000원 | 등록일 2004.12.18
  • 한글파일 [공학]논리회로 설계) Exclusive-OR Gate (7486),그레이 코드
    실험 7)은 그레이 코드(Gray Code)변환기 회로로, X0R 게이트 4개로 이루어져 있다. ... 패리티 비트 검사기 회로와 그레이 코드(Gray Code) 변환기 회로가 어떤 기능을 하는지 알아본다. 2. 실험 이론 ? Basic ? ... 그레이 코드 변환기 증명 ? 11001 ? 10101 (2진수) 첫 번째 숫자(왼쪽부터)는 그대로 내려와서 1 이 된다. ?
    리포트 | 6페이지 | 1,000원 | 등록일 2006.10.16
  • 워드파일 VHDL을 통해 구현한 Counter
    그림5. 4bit counter diagram 5)Gray code 2진수를 나타내는 방법중 하나로, 수의 크기가 변할 때, 인접한 수 사이에 한 자리만 변하게 만든 코드로, 일반적인 ... Counter는 gray code와 binary code를 count하는 두가지 방식으로 설계한다. ... m_temp1=3810 -gray code Hyperlink "https://en.wikipedia.org/wiki/Gray_code" https://en.wikipedia.org/
    리포트 | 14페이지 | 2,000원 | 등록일 2020.12.27
  • 한글파일 <디지털회로실험>인코더,디코더와 코드변환기
    코드변환기 BCD 입력 Gray 코드 출력 A B C A' B' C' 0 0 0 0 0 0 0 0 1 0 0 1 0 1 0 0 1 1 0 1 1 0 1 0 1 0 0 1 1 0 1 ... 실험 3) BCD to Gray 코드변환기 2진수를 gray 코드로 변환하는 과정은 먼저, 2진수의 MSD는 그대로 gray 코드의 MSD가 되고, 2진수의 MSD와 다음 입력 비트를 ... XOR 하면 gray 코드의 다음 비트 값이 된다.
    리포트 | 7페이지 | 2,000원 | 등록일 2023.10.24
  • 한글파일 인코더디코더 코드변환기
    토의 이론값만 가지고 BCD to Gray코드변환기의 결과 값을 추측해 보자면 BCD to Gray 코드변환기는 이름 그대로 BCD코드의 입력을 Gray 코드의 출력으로 바꾸어주는 ... BCD코드에서 3코드를 더하여 보수연산을 빠르게 하기 위해서 만든 것이 Excess-3코드이다 BCD to Gray 코드변환기 결과 이 회로는 구성하는데 시간이 오래 걸렸다. ... Gray코드는 연산보다는 입/축력에 많이 사용되는 코드이다, 숫자표시시 오류가 매우 적고 비트가 1개만 바뀌는 특징을 가지고 있다.
    리포트 | 3페이지 | 2,000원 | 등록일 2019.06.25
  • 한글파일 기초전자회로실험 - 비동기,동기 카운터 예비레포트
    보고서에 결과를 요약하라. 21-(1) : 실험22에서 다룰 것이지만 GRAY코드 동기카운터는 state machine 설계에 자주 사용된다. 6상태의 gray코드가 필요하다고 가정하자 ... 일반적인 gray코드 시퀀스는 사용되지 않는다. 왜냐하면 6번째 상태가 다시 0상태로 되돌아 올 때 gray 성질을 잃기 때문이다. ... Q_{"C"} Q_{ "C"} ^{ " next"} J_{ C} K_{ C} 0 0 0 0 0 0 0 1 1 1 1 0 0 X 0 X 0 X 1 X X 0 X 1 Q_{ B} Q_{
    리포트 | 11페이지 | 2,000원 | 등록일 2021.02.27
  • 한글파일 디지털논리회로(챕터1,2,3)
    다음 BCD 코드를 10진수로 변환하여라. ① 10000000 ③ 1101000110 7. 2진 코드그레이 코드로, 그레이 코드는 2진 코드로 변환하여라. ① 1011(2) ③ ... 다음 해밍코드 중 에러가 있는지 검사하여라. ① 1 0 1 1 0 1 1 1 1 1 1 0 비트위치 P1 P2 D3 P4 D5 D6 P7 P8 D9 D10 D11 D12 비트 결과
    리포트 | 7페이지 | 1,000원 | 등록일 2022.10.10
  • 한글파일 기초전자회로실험 - FPGA Board를 이용한 FSM 회로의 구현 예비레포트
    예를 들어, 존슨 카운터의 시퀀스 중 상태 하나가 1110000이라면 그 시퀀스는 그레이 코드의 특성을 띠고, 1100011인 경우 그레이 코드의 특성을 띠지 않는다.[4] 3. ... C언어의 컴파일 과정과 비슷하다고 보면 된다. 4) Simulation Sources 폴더에 testbench파일을 만들어, 설계된 회로에 넣을 입력값이나 클록신호를 verilog코드로 ... riverglennapts.com/ko/digital-counters/282-ring-counter.html [4]https://riverglennapts.com/ko/digital-counters
    리포트 | 8페이지 | 2,000원 | 등록일 2021.02.27
  • 한글파일 디지털공학 실생활 사례
    디지털 코드 그림2. 별자리y code)로 변환하는 방법을 발명했는데, 그 이름을 따서 Gray code라고 불리게 되었다. ... 현대의 디지털 통신에서 그레이 코드는 오류 수정에 중요한 역할을 한다. ... Code, https://en.wikipedia.org/wiki/Gray_ code#History_and_practical_application Wikipedia, Microprocessor
    리포트 | 8페이지 | 1,000원 | 등록일 2021.05.12
  • 파일확장자 디지털통신시스템설계 12주차 실습
    이번 과제를 통해 Gray coding 된 QPSK 와 BPSK의 BER이 같다는 것을 알 수 있었다. ... • Discussions이번 시간은 Gray coding 된 QPSK 변조와 실습에서 구현했던 BPSK의 BER을 비교하는 시간이었다. ... Gray coding의 원리는 심볼들을 가장 인접한 심볼간 비트 차이가 1이게끔 만들어 오류가 생기더라도 1비트만오류가 발생하게 되기 때문이다.BER 외에도 둘의 성능을 비교 분석해볼
    리포트 | 8페이지 | 2,000원 | 등록일 2023.06.16
  • 워드파일 서강대학교 21년도 디지털논리회로실험 3주차 결과레포트 (A+자료) - Decoder, 7-Segment Display
    카르노 맵은 Truth table을 순서를 바꿔 작성한 것인데, 인접한 cell들 사이에 한 개의 변수만이 차이가 나도록 gray code로 배치한다. ... 또한 디코더는 enable 신호가 존재해, enable이 active일 때 code 변환을 실행하게 된다. 보통 n-bits의 입력으로 2n-bits의 출력 코드를 발생시킨다. ... 체계를 다른 code 체계로 변환하는 논리 회로이다.
    리포트 | 34페이지 | 2,000원 | 등록일 2022.09.18
  • 한글파일 부산교통공사 통신, 전기, 신호 전공 문제 기출 복원(09~15년)
    에러검출용코드가 아닌것은? poll code 28. 셀룰러 이동통신시스템에 대해서 나왔는데..격이..긴지문 29. 코드가 가져야 할 조건으로 옳지 못한 것은? ... 그레이 코드 -> 2진 코드로 변환 28. 방송계가 아닌 것은? VTR 29. 위상 변조도 구하는 문제: 1.5 30. 위성 궤도 이탈시 : AOCS 31. ... 그레이 코드 2출력 게이트 묻는 문제=> AND게이트랑 NOT 연결로 NAND게이트 15. 공진 첨두값 범위 문제 16.
    자기소개서 | 11페이지 | 15,000원 | 등록일 2021.12.13
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업