• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(2,546)
  • 리포트(2,339)
  • 시험자료(95)
  • 논문(71)
  • 자기소개서(23)
  • 방송통신대(10)
  • 서식(5)
  • 이력서(2)
  • ppt테마(1)

"or gate" 검색결과 1-20 / 2,546건

  • 파일확장자 quartus 를 사용하여 and-or gate와 NAND gate 구현
    두번째 실습은 우선 F( x,y,z ) = ∑m( 로 표현된 함수를 이해하고 , 이 함수를 각각 2level and or gate 와 2level nand gate 를 사용해 회로로 ... 게이트와 달리 기존 and logic 3 개와 output 에 연결되는 or 를 모두 nand 게이트로 바꿨다. ... 바꾸어 실습했다.즉, 사용한 함수는 F(A,B,C) = D = ∑m(1,2,3,4,5,6) 이다BDF CaptureQuartus로 다이어그램을 그리면 다음과 같다.And-or
    리포트 | 15페이지 | 2,000원 | 등록일 2020.10.08
  • 파일확장자 인하대 기초실험1 Logic Gate-AND, OR Gate
    이번 실험은 AND GateOR Gate에 대해 전압을 0V 또는 3.3V 인가했을 때 나타나는 출력파형을 분석하는 실험을 하였다.첫 번째 실험에서 High 일 때 3.3V이고 ... OR Gate에서는 입력 모두 0이면 출력이 0이고 입력 1개라도 1이 있으면 1로 출력되는 것을 실험을 통해 알 수 있다. ... 이번 실험에서는 Logic High를 3.3V, Logic Low를 0V로 설정하고실험을 하였다. 2개의 입력을 갖는 AND Gate에서 Logic IC인 74HC08을 사용하였고
    리포트 | 7페이지 | 1,000원 | 등록일 2022.03.08
  • 한글파일 [전자회로] Pspice (AND, OR, NOT gate) 회로도 실험 레포트
    OR gate의 값을 보면, 입력신호가 중 하나라도 1이 되면 출력이 1이 되는 OR gate의 원리에 따라 각각 0, 1, 1, 1의 값이 출력되게 된다. ... 원리 Inverter (NOT Gate) AND gate OR gate 의미 입력 논리 신호의 반대값. 입력신호가 모두 참(1)이 되어야 출력이 참(1). ... GATE 3. 결과 PSpice를 통해 AND, OR, NOT gate를 이용해 설계한 회로도를 시뮬레이션 해보고, 위에서 얻은 결과 값과 같은지 비교해보았다. 4.
    리포트 | 4페이지 | 1,500원 | 등록일 2020.11.30 | 수정일 2020.12.02
  • 워드파일 기초실험1 AND, OR GATE 3주차 결과보고서-틴커캐드
    그 이유는 실험에서 사용한 2 input AND, OR Gate, 3 input AND, OR Gate의 date sheet에서 입력값을 1로 둘 때, 전압의 평균적인 값이 대략 3.3V이고 ... 또한 5V의 전압을 인가해 실험을 진행했다.1. 2 input AND, OR Gate (1) AND Gate1번 입력 값은 함수 발생기를 사용한 것이고, 2번 입력은 3.3V, 입력값은 ... AND Gate의 1번, 입력 함수를 나타낸다.
    리포트 | 10페이지 | 1,000원 | 등록일 2023.03.12 | 수정일 2023.11.29
  • 워드파일 서강대학교 21년도 디지털논리회로실험 4주차 결과레포트 (A+자료) - Multiplexer, Tri-State, Exclusive-OR gate
    -Exclusive-OR gate의 동작원리와 활용방법을 이해한다. ... DIR이 low라면 좌상단의 and gate만 1을 출력하게 되고, B에서 A 방향의 buffer만이 active하게 될 것이다.
    리포트 | 35페이지 | 2,000원 | 등록일 2022.09.18
  • 워드파일 Hspice Simulation of the AND,OR GATE
    GATE -Netlist OR_GATE VD 1 0 3 VA 2 0 PULSE(0 3.0 1U 0 0 0.4U 1U) VB 4 0 PULSE(0 3.0 1U 0 0 0.8U 2U) ... (1) AND GATE - Netlist AND_GATE VD 3 0 3 VA 1 0 PULSE(0 3.0 1U 0 0 0.4U 1U) VB 2 0 PULSE(0 3.0 1U 0 ... CGDO=400P CGBO=600P RSH=20 CJ=2E-4 CJSW=1E-9 MJ=0.5 MJSW=0.5) .TRAN 0.1U 10U .PROBE .END - 결과창 (2) OR
    리포트 | 4페이지 | 1,000원 | 등록일 2019.04.09 | 수정일 2019.04.13
  • 한글파일 OR Gate
    _1164.all;entity tb_or_gate1 isend entity;architecture tb of tb_or_gate1 iscomponent or_gate1 port ... , in_b : in std_logic; out_c : out std_logic);end or_gate1;architecture arch_or_dataflow of or_gate1 ... Dataflow에 따른 OR Gate의 코드① HDL 코드library IEEE;use IEEE.std_logic_1164.all;entity or_gate1 isport (in_a
    리포트 | 3페이지 | 1,000원 | 등록일 2009.12.06
  • 한글파일 EXCLUSIVE OR GATE
    EXCLUSIVE OR GATE 개념, 디지털 소자 및 회로실험 배타적 OR 게이트 (XOR)는 OR 게이트를 수정한 것으로, 입력의 하나가 HIGH이면 출력은 HIGH이나 두 입력 ... ■EXCLUSIVE OR GATE를 진리표 XOR GATE TRUTH TABLE INPUTS OUTPUT A B C LOW LOW HIGH HIGH LOW HIGH LOW HIGH ... 이론 - EXCLUSIVE OR GATE 의 입출력 관계는 위에서 언급한대로 하나의 입력이 1이면 출력은 1 이지만 두 개의 입력이 모두 1,1 이거나 0,0이면 출력은 0이 되는
    리포트 | 6페이지 | 1,000원 | 등록일 2009.11.01
  • 한글파일 AND, OR, NOT GATE
    실험 2 AND, OR, NOT GATE 1. ... 목적 1) 기본 게이트인 AND, OR, 그리고 NOT의 동작원리를 이해한다. 2) AND, OR, 그리고 NOT 게이트 T시 소자의 사용법을 이해한다. 3) 브레드보드와 TTL을 ... 그렇지 않을 경우 에는 0을 출력한다. (1)AND 게이트의 기호 (2)AND 게이트의 진리표 입력 출력 A B Y 0 0 0 0 1 0 1 0 0 1 1 1 2) OR 게이트 OR
    리포트 | 5페이지 | 1,000원 | 등록일 2010.06.23
  • 한글파일 AND_OR_NOT gate
    그림 3입력 OR 그림 4입력 OR 그림 5입력 OR 그림 A와 B의 OR 회로 OR은 ‘논리합’이라고도 부른다. 2입력 OR 회로의 입력과 출력 관계는 논리식으로 「 」로 표시하며 ... OR 회로 OR 회로의 그림기호는 그림 6과 같고, OR 회로는 입력된 두 개의 데이터에서 하나라도 1이라면 1이 출력되며 0만 입력될 때에만 0이 출력된다. ... 그림 OR 회로의 기호 입력 출력 A B X 0 0 0 0 1 1 1 0 1 1 1 1 표 OR 회로의 진리표 OR 회로도 AND 회로와 마찬가지로 출력은 모두 1개뿐이지만 입력은
    리포트 | 3페이지 | 1,500원 | 등록일 2010.06.18
  • 한글파일 and,or gate pspice
    1PAGE : AND, OR GATE 시뮬레이션2PAGE : AND-OR GATE 시뮬레이션
    리포트 | 2페이지 | 1,000원 | 등록일 2009.09.18
  • 파워포인트파일 2 port Or gate 설계
    각 포트 값을 변화 시켜 가면서 결과를 확인한다 . / or_gate /i1 = 0, / or_gate /i2 = 1 / or_gate /I1 = 0, / or_gate /I2 = ... 0 / or_gate /I1 = 1, / or_gate /I2 = 0 / or_gate /I1 = 1, / or_gate /I2 = 1 이와 같이 포트 값을 변화시키며 Output ... 설계 ( 실험 ) 배경 및 목표 VHDL 을 이용하여 2 Port OR-Gate 설계한다 . 2 Port OR Gate 을 Data Flow Modeling 으로 구현하고 그에 따른
    리포트 | 12페이지 | 1,500원 | 등록일 2010.09.09
  • 파워포인트파일 2-port OR gate
    이를 토대로 2 port Or Gate를 구현해 보고 시뮬레이션 해 보았다. ... Entity는 하나의 entity 선언과 하나 이상의 architecture로 구성할 수 있다. - 2 port OR gate의 Entity 선언부 - entity OR2 is port ... 그다음 생성된 파일에 architecture Dataflow of OR_gate is begin O = I1 or I2; End dataflow 라는 코드를 작성한다. 3.
    리포트 | 17페이지 | 1,000원 | 등록일 2010.06.24
  • 워드파일 MOSFET를 이용한 Digital Loagic Gate( AND, OR GATE )설계
    설계 목표 MOSFET를 이용한 Digital Loagic Gate( AND, OR GATE ) 구현하라. B. ... 1) NMOS 를 이용한 AND Gate 2) NMOS를 이용한 OR Gate 5장 모의실험과 실제실험의 비교 및 오차 분석 1) NMOS 를 이용한 AND Gate NMOS 를 ... Gate NMOS 를 이용한 OR Gate V1(V) V2(V) Vo 이론 Vo 모의 Vo 실제 0 0 0 0.77mV 8.4mV 5 0 5 5V 5.109V 0 5 5 5V 5.109V
    리포트 | 13페이지 | 1,000원 | 등록일 2011.06.07
  • 한글파일 01 논리회로설계실험 결과보고서(And, or gate)
    실험 목표 VHDL을 이용하여 AND gateOR gate를 설계한다. 각 게이트를 설계 할 때, 동작적 모델링과 자료 흐름 모델링을 이용한다. 3. 실험 결과 실험 1. ... AND, OR GATE를 동작적 모델링과 자료 흐름 모델링으로 작성하시오. (1) AND GATE 1) 진리표 입력 X 입력 Y 출력 F 0 0 0 0 1 0 1 0 0 1 1 1 ... 테스트 벤치를 이용한 설계 결과 X, Y 값의 변화에 따라 F가 AND gate 진리표의 값과 같은 값을 갖는 것을 확인 할 수 있다. (2) OR GATE 1) 진리표 입력 X 입력
    리포트 | 7페이지 | 3,000원 | 등록일 2014.09.27 | 수정일 2021.04.15
  • 한글파일 01 논리회로설계실험 예비보고서(And,or gate)
    실험 목표 VHDL을 이용하여 AND gateOR gate를 설계한다. 각 게이트를 설계 할 때, 동작적 모델링과 자료 흐름 모델링을 이용한다. 2. ... 실험 내용 - 실험 1. 2개의 입력을 가진 AND, OR을 동작적 모델링과 자료 흐름 모델링으로 작성하시오. (1) AND GATE 1) 진리표 입력 X 입력 Y 출력 F 0 0 ... XOR 게이트 배타적 OR(Exclusive OR) 게이트의 출력은 두 개의 입력이 반대 논리일 때만 High 가 된다. 3.
    리포트 | 8페이지 | 3,000원 | 등록일 2014.09.27 | 수정일 2021.04.15
  • 파일확장자 강변저류지 월류부에서 월류제 또는 수문 형식에 따른 홍수저감효과에 관한 개략적 연구 (An approximate study on flood reduction effect depending upon weir or gate type of lateral overflow structure of washland)
    Thus flood damage reduction planning projects would get started including washland or detention pond ... In this study flood reduction effects of washland are estimated for overflow weir type and gate type ... It has been shown that even if gate type at overflow structure could yield more flood reduction than
    논문 | 11페이지 | 4,000원 | 등록일 2015.03.25 | 수정일 2017.02.01
  • 한글파일 [회로실험]OR gate, AND gate, Not gate,NAND gate, NOR gate 예비레포트
    1.실험목적 OR, AND, NOT 게이트의 기본적인 논리 함수와 동작 특성을 이해하고 논리회로의 측정 방법을 익히는데 목적을 둔다. 2.이 론 기본 논리 회로(Logic Gate ... 논리합(OR)이라함. ... "Y=A 익스클루시브-OR B"라고 읽음 -. 익스클루시브-OR 함수의 보수: 익스크루시브-NOR -.
    리포트 | 3페이지 | 1,000원 | 등록일 2006.04.09
  • 한글파일 논리회로설계실험 OR gate 코드와 Half Adder 코드
    ;f : out std_logic);end or_gate;architecture behave of or_gate isbeginf ... 1.HDL 코드전가산기를 구성하기 위해 필요했던 이전시간에 작성했던 OR gate 코드와 Half Adder 코드 도 첨가했습니다. ... ※OR GATElibrary IEEE;use IEEE.std_logic_1164.all;entity or_gate is port(a : in std_logic;b : in std_logic
    리포트 | 5페이지 | 3,000원 | 등록일 2010.12.22
  • 파워포인트파일 디지털IC의개요 & AND OR NOT EX-OR Gate
    OR Gate ☞ 그림 표기 0 1 1 1 0 0 1 0 0 1 1 1 C A B ☞ 내부구조 ☞ Simulation 실험 2. ... EX-OR Gate ☞ 그림 표기 0 1 1 0 0 0 1 0 0 1 1 1 C A B ☞ 내부구조 ☞ Simulation {nameOfApplication=Show} ... 디지털 IC의 개요 ※ 논리계열 배타적 OR-Gate TTL (Transistor-Transistor Logic) ECL (Emitter-Coupled Logic) MOS (Metal-Oxide
    리포트 | 7페이지 | 1,000원 | 등록일 2008.09.19
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업