• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(29,882)
  • 리포트(26,577)
  • 시험자료(1,435)
  • 방송통신대(734)
  • 서식(612)
  • 논문(255)
  • 자기소개서(217)
  • 기업보고서(18)
  • ppt테마(16)
  • 이력서(7)
  • 노하우(6)
  • 표지/속지(5)

바로가기

부호 독후감 - 부호 관련 독후감 2건 제공

"부호" 검색결과 201-220 / 29,882건

  • 한글파일 Analog to digital conversion 과정을 표본화, 양자화, 부호화 과정을 조사
    PCM 통신의 2진 부호방법은 자연 2진 부호, 교번 2진 부호, 절반 2진 부호 등이 있으나 PCM 장치에서는 양자화 레벨의 중앙을 기준으로 하여 최상위 비트(MSB: most significant ... 부호기는 A/D변환시에 양자화와 부호화를 동시에 실현 시키는데, 부호 변환 방법에 따라 계수형, 행 순차 비교형, 축차 비교형, 직독형 등이 있다. ... 부호화는 일정주기로 표본화되어 양자화 단계에서 결정된 PAM신호의 진폭값을 0과 1로 조합된 2진 부호로 바꾸는 것이다.
    리포트 | 3페이지 | 1,000원 | 등록일 2013.05.18
  • 파일확장자 멀티플렉서_예보&결보&부호기_실험사진
    제목 : 복호기 및 부호기2. 목적 : 복호기와 부호기의 동작원리 및 특성을 확인하고 부호 변환기의 동작을 살펴본다.3.
    리포트 | 4페이지 | 2,000원 | 등록일 2010.10.04
  • 한글파일 MPEG2 부호기 구조와 알고리즘
    모든과정이 끝나면 픽처를 저장하고 시컨스를 종료하여 부호기 과정을 끝 마치게 된다. 2.3 MPEG-2 부호기의 main() 처리 과정 부호기 main() 함수 3. ... 세부과정 MPEG-2의 부호기 세부과정 세부적인 MPEG-2 부호기 과정은 에 자세하게 나타나 있다. ... IPB 부호화 구조의 예 3.4 매크로 블록(Macroblock) 매크로 블록은 부호화와 복호화 블록(block)의 단위이다.
    리포트 | 6페이지 | 3,800원 | 등록일 2007.10.25
  • 한글파일 마케팅원론비자정보처리과정에서 지각의 개념과 절대적 문턱을 포함한 지각적 부호화, 지각적 조직화, 지각적 범주화에 대하여 설명하시오
    자신의 주관의 따라 지각적 부호화, 지각적 조직화, 지각적 범주화 현상경험하기 때문에 해석이 다 다를 수밖에 없다. 1) 지각적 부호화 다양한 자극에 대하여 의미를 부여하는 과정이다 ... 마케팅원론 -소비자정보처리과정에서 지각의 개념과 절대적 문턱을 포함한 지각적 부호화, 지각적 조직화, 지각적 범주화에 대하여 설명하시오 정보처리과정 소비자는 제품에 대한 구매의사결정
    리포트 | 2페이지 | 3,000원 | 등록일 2017.05.12
  • 한글파일 데이터통신 레포트-Lempel-Zib,산술부호화,facsimile의 압축방식,psychoacoustic model
    Arithmetic Encoding (산술부호화) > 1. 산술부호화 정의 : 산술 부호화는 무손실 압축에 사용되는 엔트로피 부호화 알고리즘 가운데 하나이다. ... 다른 엔트로피 부호화 알고리즘이 각각의 기호를 1:1로 부호로 대체하는 반면에, 산술 부호화는 전체 메시지를 하나의 실수 n으로 대체한다(0.0 ≤ n < 1.0). ... 산술 부호화는 주어진 기호와 확률분포에 대해 최적에 가까운 압축률을 보일 수 있다. 2.
    리포트 | 8페이지 | 1,000원 | 등록일 2013.12.07 | 수정일 2013.12.16
  • 파워포인트파일 10진 BCD부호 변환기 세미나
    + I9 부호 변환기의 구성 BCD 부호 변환기 피스파이스 회로도 BCD 부호 변환기 피스파이스 결과도 BCD-to-7 세그먼트 디코더 기능을 수행하는 TTL ● TTL IC란? ... BCD 부호 변환기 10진수를 8421 BCD 부호로 변환하기 위해서는 10진수 각각의 Digit를 해당되는 8421 BCD 부호로 변환함으로서 이루어진다. ex) 10진수 37210을 ... 10진 BCD 부호 변환기 디지털 논리회로 실험 0조세미나 8421 BCD 부호 BCD코드(Binary Coded Demical Code : 2진화 10진코드, 8421코드)는 10진수
    리포트 | 16페이지 | 1,500원 | 등록일 2008.09.10
  • 한글파일 [인문]한글 부호화에 관한 조사
    마지막으로 국제 표준 글자 부호계인 유니코드(Unicode)에 대해 살펴보도록 하겠습니다. ... 한글 부호화에 관한 조사 200322071 미디어학부 이 진 규 인간이 만든 발명품 가운데 가장 훌륭한 것 중 하나는 바로 언어일 것입니다. ... 한글 부호계에 관련된 규격으로 국가 표준에 의한 코드는 KSX 1001과 KSX 1002, KSX 1005-1 코드가 있습니다.
    리포트 | 4페이지 | 1,000원 | 등록일 2007.06.28
  • 한글파일 [정서법] 문장부호
    들어가면서 - 문장 부호의 중요성 문장은 글자와 함께 여러 가지 부호로 구성된다. ... 문장을 구성하는 데에 동원되는 여러 가지 부호를 문장 부호 라고 하는데, 그것은 글자만큼 중요하다. 때에 따라서는 글자보다 더한 위력을 발휘하기도 한다. ... 반점(.)은 매우 작은 부호 가운데 하나인데, 가장 기본적인 기능은 문장 성분 사이를 단절시켜 주는 것이다.
    리포트 | 15페이지 | 1,000원 | 등록일 2005.02.04
  • 한글파일 1학년 국어 7.알맞게 띄어 읽어요 2차시.문장부호 이름과 쓰임 알기
    활동2 ◎활동2.문장 부호 게임 T: 지금부터 문장 부호 게임을 시작할게요. ... 이런 부호들은 우리가 평소에 읽거나 쓰는 문장에서 많이 쓰고 있어요. 이 친구들을 문장 부호라고 해요. 오늘은 이 함께 문장부호들 에 대해 알아볼 거에요 S1:키보드요. ... T:선생님이 문장 부호에 대한 설명도 적어놓았어요. 어떤 문장 부호에 대한 설명인지 맞춰 봅시다.
    리포트 | 4페이지 | 1,500원 | 등록일 2013.11.27
  • 한글파일 결과보고서 실험 7. 복호기와 부호기(Decoder & Encoder)
    실험 전 실험 강의 자료를 통해 복호기와 부호기(Decoder & Encoder)를 공부하였다. ... 복호기와 부호기(Decoder & Encoder) > < 목 적 > 카운터를 이용해 디코딩(decoding)과 인코딩(encoding)의 코드변환 동작에 관해 실험하고 그 동작원리를
    리포트 | 8페이지 | 3,000원 | 등록일 2012.03.11
  • 워드파일 verilog를 이용한 부호있는 8bit 곱셈기(multiplier) 설계 및 분석
    부호를 확장한다는 의미는 C의 부호가 +로 양수이면 가장 상위bit가 0일 것이다. 이 0을 앞에 더 붙이는 작업을 부호를 확장한다고 말한다. ... 부호가 있는 8bit의 두 숫자를 곱해 부호까지 고려한 답을 출력하는 곱셈기를 설계하였다. ... 위와 같은 방식으로 C의 2의 보수를 부호를 확장하여 더한다.
    리포트 | 8페이지 | 1,500원 | 등록일 2011.12.18
  • 한글파일 규정에 제시 되어 있지 않은 문장 부호 사용 실태 (국어 어문 규정집을 대상으로)
    문장 부호의 개념과 체계 1. 문장 부호의 개념 2. 문장 부호의 체계 Ⅲ. 국어 어문 규정집 상의 문장 부호 사용 문제 1. 문장 부호 규정에 없는 부호의 사용 2. ... 이 문장 부호 중 ‘→’, ‘< >’ 등은 실제 생활에서도 많이 사용되고 있는 부호인 만큼 문장 부호 규정에 넣어 실제적인 규정이 담긴 문장 부호 규정을 확립해야 할 것이다. ... 둘째, 현재 문장 부호 23개에 현실적으로 빈번하게 사용되고 있는 부호를 포함시켜 부호의 부족문제를 해결해야 할 것이다.
    리포트 | 11페이지 | 2,000원 | 등록일 2013.01.18 | 수정일 2016.07.22
  • 워드파일 _실험8[1]._10진 BCD 부호 변환기
    실험목적 (1) BCD 부호의 개념 및 원리를 배운다. (2) 10진-BCD 부호 변환 기법의 원리를 이해하고 이를 응용한 부호 변환기의 구성방법을 익힌다. (3) 표준 TTL IC를 ... 것으로서 8421 BCD 부호의 각 디지트(Digit)에 해당되는 가중치가 8, 4, 2, 1이 되기 때문에 8421 BCD부호라 한다. ... 이용한 부호 변환기를 구성하여 동작원리를 습득한다. 1) 8421 BCD 부호 디지털 시스템은 2개의 구별되는 값 갖는 신호, 즉 2진 신호를 사용한다.
    리포트 | 4페이지 | 2,000원 | 등록일 2009.10.26
  • 한글파일 모스부호를 문자로 문자를 모스부호로 변환
    문자를 모스부호로 변환\n"); printf("2. 모스부호를 문자로 변환\n"); printf("3. ... /************************************************************** * 영문자나 특수문자를 입력받아 모스부호로 변환하시오 * * 모스부호를 ... 문자로 void morse_to_string(char string[MAX], char* morse[MAX], char input[MAX]); //문자를 모스부호로 int main(
    리포트 | 3페이지 | 1,000원 | 등록일 2003.11.17
  • 워드파일 verilog를 이용한 부호있는 4bit 곱셈기(multiplier) 설계 및 분석
    A에 C의 부호를 확장하고 C를 더한다. 부호를 확장한다는 의미는 C의 부호가 +로 양수이면 가장 상위bit가 0일 것이다. ... 곱하는 두 수의 답의 부호 판단 곱하는 두수의 부호가 같은 경우 (+)*(+), (-)*(-) 이면 pneg=0 곱하는 두수의 부호가 다른 경우 (+)*(-), (-)*(+) 이면 ... 이 0을 앞에 더 붙이는 작업을 부호를 확장한다고 말한다. C의 가장 상위의 bit가 1일 때도 같은 방식으로 부호를 확장한다.
    리포트 | 10페이지 | 1,500원 | 등록일 2011.12.18
  • 워드파일 변조방식 공간블록부호 시뮬레이션
    Tarokh은 MIMO채널에 대해 부호화 이득과 최대 다이버시티 이득을 얻을 수 있는 새로운 형태의 부호인 시 공간 트렐리스 부호 (Space Time Trellis Code)를 제안하였다 ... (sponsors) 그림 5 Alamouti 시공간블록부호 스킴 Alamouti 방식[2]은 2개의 송신 안테나에 적용이 가능한 방식으로 시공간 부호화 과정은 다음 식과 같다. (1 ... 이러한 환경에 따른 변조 및 부호화 변경 방식인 적응 변조 및 부호화 (Adaptive Modulation and Coding) 방식에 대해서 더 연구하도록 한다 참고 문헌 [1]
    리포트 | 4페이지 | 2,000원 | 등록일 2007.01.05
  • 한글파일 모스부호
    통신개시의 신호 ㅡ ㅇ ㅡ ㅇ ㅡ 통신종료의 신호 ㅇ ㅡ ㅇ ㅡ ㅇ 정정부호(국문) ㅇ ㅇ ㅇ ㅡ ㅇ 정정부호(영문) ㅇ ㅇ ㅇ ㅇ ㅇ ㅇ ㅇ ㅇ 영문 모스부호 A ·ㅡB ㅡ ···
    리포트 | 2페이지 | 1,000원 | 등록일 2000.11.04 | 수정일 2015.06.10
  • 한글파일 세계적인 부호, 록펠러
    세계적인 부호, 록펠러(Rockefeller) John D. Rockefeller(1839∼1937) 세계적인 부호 록펠러의 정체성에 대해 잘 아는 사람이 그리 많지는 않다. ... 그러나 사업가가 되어 43세에 미국에서 가장 큰 회사의 사장이 되었고, 그 후 10여년이 지나서는 세계최대의 부호가 되었다. ... 다만 미국의 부호였다는 것 이외에는 말이다. 록펠러는 소년 시절엔 몸이 튼튼했기 때문에 장차 훌륭한 장군이 되었으면 하는 생각을 가지고 있었다.
    리포트 | 2페이지 | 1,500원 | 등록일 2004.06.17 | 수정일 2021.12.07
  • 한글파일 논리회로실험 복호기와 부호기(Decoder & Encoder)
    복호기와 부호기(Decoder & Encoder) Ⅰ. ... 이 경우 디코딩에 약간의 여유가 생기기 때문에 사용되는 모든 게이트가 K개의 입력을 필요로 하지는 않는다. (2) 부호기(Encoder) ⇒ 부호기는 10진수를 2진수 코드로 바꾸거나 ... 입력보드에 대응하여 1개만 출력하는 회로를 해독기 또는 디코더 라고 하고 이와 반대로 10진수를 2진수 코드로 바꾸거나 입력 단자에 나타낸 정보를 2진 코드화하여 출력시키는 회로를 부호
    리포트 | 6페이지 | 1,500원 | 등록일 2010.03.20
  • 한글파일 중국어와 한국어 문장부호 비교
    중국어와 한국어의 문장부호는 크게 나누어, 용법은 동일하나 표기가 서로 다른 문장 부호, 중국어·한국어에서만 사용되는 문장 부호, 기호는 동일하나 용법이 다른 문장부호, 한국어 문장에 ... 중국어·한국어에서만 사용되는 문장 부호 1) 모점??( 、) 한국어 문장부호'쉼표'는 마침표와 대조되는 문장 부호이다. ... 사용되지 않는 중국어 특수문장 부호가 있다.
    리포트 | 6페이지 | 2,000원 | 등록일 2006.10.11
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업