• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(649)
  • 리포트(634)
  • 자기소개서(10)
  • 논문(3)
  • 시험자료(2)

"Latch 회로" 검색결과 261-280 / 649건

  • 한글파일 논리회로실험 - 제 6장 VDHL의 순차회로 중 shift를 설계 결과보고서
    Design (1)어떠한 회로를 설계할 것인가 1) 1)Latch vs. ... Introduction VDHL의 순차회로 설계에서 Latch vs. Flip-Flop(FF), DFF, Synchronous reset vs. ... Flip-Flop(FF) 1.Latch -Asynchronous(비동기) -입력에 의해 출력이 변화하는 기억소자 -RS latch, Level-sensitive RS latch, JK
    리포트 | 15페이지 | 1,000원 | 등록일 2014.08.15
  • 한글파일 논리회로실험 2014 Flip Flop
    래치의 종류로는 RS latch, JK Latch 등이 있다. ... Background 1) Latch 와 Flip-Flop 기본적으로 래치와 플립플롭은 두 개의 출력 상태 중에서 하나의 상태를 가질 수 있고, 그 출력을 바꿀 수 있게 하는 입력을 ... 아니라면 회로는 clk의 제어를 받아서 clk의 변화에 따라서 동작을 하게 된다.
    리포트 | 10페이지 | 1,000원 | 등록일 2014.11.05
  • 한글파일 논리회로실험 예비보고서8
    여기서 신호를 저장하는 역할은 Latch나 F/F을 이용하게 된다. ? ... 회로결선도 참고문헌 -John F. ... Counter : Counter는 숫자를 세는 논리회로를 말한다.
    리포트 | 9페이지 | 1,500원 | 등록일 2017.03.09
  • 한글파일 디지털실험 10 예비 4-Phase clock
    특히 주종 latch에 사용하는 것도 가능하며 이때는 첫 번째 클럭 C1에 의해 주 latch가 동작되고 종 latch는 두 번째 클럭위상 C2에 의해서 동작한다. ... 실험 1의 회로이다. 이때 Q _{A}와 Q _{B}의 파형을 보려고 해도 안?映 때문에 따로 회로를 그려서 봐야 할 것 같다. ... 특히 다중상클럭은 신호의 발생이 어렵지만 회로를 제어하기가 용이한 점에서 디지털 시스템에서 많이 사용된다.
    리포트 | 4페이지 | 1,000원 | 등록일 2014.09.30
  • 한글파일 디지털실험 9 결과 실험 9. 플리플롭의 기능
    이것으로 LATCH와 플리플롭이 저장기능을 하는 소자라는 것을 알 수 있다. PRESET=0으로 하고 실험했을 때. ... 플리플랍과 latch에서 p(Q`)는 항상 Q의 보수로 나와야 한다. R=0, S=1일 때 S(set)이 1이므로 Q는 1이 나온다. ... 다음 회로를 구성하여 R-S Q, Q'의 관계를 관찰하여 R-S 플립플롭의 동작을 설명하라. 실험 3의 회로이다.
    리포트 | 7페이지 | 1,000원 | 등록일 2014.09.30
  • 워드파일 서울시립대학교-전자전기컴퓨터설계실험2-제07주-Lab06_Pre
    Also, we call Flip-flop as Latch. ... Datasheet - HBE-Combo II-SE VHDL과 Verilog HDL을 이용한 디지털 논리 회로 설계-XILINX-090508, 한백전자 기술연구소.
    리포트 | 6페이지 | 1,500원 | 등록일 2017.09.04
  • 한글파일 아주대 논회실 실험6 예비보고서.hwp
    실험이론 1) Latch 래치란 순차회로에서 한 비트의 정보를 저장하는 구성요소로써 입력 값 S(set), R(reset)에 따라 출력상태 (Q,Q')를 가지며 NOR게이트를 이용하거나 ... 그리고 S=1, R=1일 때는 불안정한 상태이므로 입력하지 않을 것이다. 2) 두 번째 실험, Latch with Enable(Gate 이용) 회로처럼 구성하고, 예상결과를 예상해보면 ... 실험과정 및 예상 결과 1) 첫 번째 실험, Latch with Enable 회로처럼 구성하고, 예상결과를 예상해보면, Enable역할을 하는 C가 0이면 S, R에 어떤 값을 입력해도
    리포트 | 4페이지 | 1,000원 | 등록일 2014.03.10 | 수정일 2014.03.21
  • 한글파일 [대충] 결과 각종 Latch와 Flip-Flop
    디지털공학실험(결과보고서) 실험 : 각종 Latch와 Flip-Flop ◆실험 입력 출력 R S Q Qbar L L 유지 유지 L H L H H L H L H H L(X) L(X) ... 그림 4-9의 회로를 구성하고 출력을 확인하여 다음의 표를 완성하라. ... 그림 4-10의 회로를 구성하고 출력을 확인하여 다음의 표를 완성하라.
    리포트 | 3페이지 | 1,000원 | 등록일 2015.01.17
  • 한글파일 10-논리회로설계실험-예비보고서
    커패시터의 충방전 시간으로 인해 스위치의 동작곡선이 완만해지는 결과를 얻을 수 있다. - - 하드웨어적인 두 번째 방법으로는 위의 그림처럼 SR Latch를 이용하여 SR 신호의 타이밍을 ... 과 목 : 논리회로설계실험 과 제 명 : #10 순차회로 설계_FSM (예비) 담당교수 : 국태용 교수님 담당조교 : 김태경 이희준 조교님 학 과 : 전자전기공학과 학 년 : 3 반 ... 고찰 - 순차회로를 이용한 FSM의 일종인 밀리머신과 무어머신은 이미 2학년 과정에서 배운 개념이기 때문에 익숙하였다.
    리포트 | 6페이지 | 2,000원 | 등록일 2016.05.13 | 수정일 2021.07.28
  • 파워포인트파일 세그먼트를 이용한 주차 관리 시스템
    부품구성 2. 74LS279(RS-Latch) 74LS279 는 RS-Latch 로 2 개의 입력 단자 세트 (set) 와 리셋 (reset) 과 2 개의 출력 단자 Q 와 Q’ 로 ... 구성된 비동기식 순서회 로를 RS-Latch 라 한다 . - 바운스 제거 기계적인 스위치를 동작시킬 때 스위치의 한쪽 전극이 다른 쪽의 접점과 접촉하게 되 는데 , 이때 스위치가 ... 회로도를 찾은 결과 간략하게 만들기위한 ic 칩이 존재 하지 않기 때문에 직접 and 와 or 게이트를 이용하여 구성해야 했고 그 결과 1~99 까지 사용하는 회로를 만들어도 약 빵판을
    리포트 | 21페이지 | 1,000원 | 등록일 2014.03.04
  • 워드파일 #6 디지털실험 결과
    Part4. ▶ 코드분석 각각의 latch에 동시에 입력과 출력이 들어갔을 때, 출력이 어떻게 다르게 나오는지 확인하는 회로설계 ▶ Compile 분석 Total pins 5 = 2 ... 다시 Clk을 set하고 D를 내리면 1latch에만 불이 꺼지고, Clk를 clear하면 latch3에도 불이 꺼진다. latch2에만 불이 켜져잇는 상태다. ▶ Discussion ... (금) Part 1 ▶ 코드분석 assign 구문을 사용하여 AND게이트 조합과 NOR게이트를 이용하여 회로 설계 ▶ Compile 분석 Total pins 4 = 3 (input-
    리포트 | 4페이지 | 1,000원 | 등록일 2013.12.12 | 수정일 2014.04.22
  • 한글파일 실험17 쉬프트 레지스터 예비보고서
    전에 실험 14에서도 Latch와 Flip flop의 차이점을 비교 했다. ... 다시 요약하자면 Latch는 Enable 제어신호가 1인 동안에 입력이 변화하면 이에 따라 출력 값이 변한다. ... 따라서, 이러한 쉬프트 레지스터는 직렬입력을 직렬 또는 병렬출력으로 병렬입력을 직렬 또는 병렬출력으로 내보낼 수 있게 된다. ◇ 예비 과제 (1) Latch, Flip flop 및
    리포트 | 4페이지 | 1,000원 | 등록일 2014.09.29 | 수정일 2018.10.15
  • 한글파일 플립플롭의 기능 예비보고서
    & Reset-set Flipflop < Reset-set Latch > (a) 입력 S, R과 출력 Q, Q' (b) 입력 S와 ... 플립플롭(flip-flop)이라고 하는 소자 래치(latch) : 기본적인 플립플롭(basic flip-flop) NOR 게이트 나 NAND 게이트를 사용하여 구성 각 게이트의 출력이 ... 이와 같은 모양의 신호를 스파이크(spike) 신호 또는 글리치(glitch) 신호라 한다. (2) D Latch & D flipflop < 방식 D 플립플롭> D 플립플롭 : SR
    리포트 | 11페이지 | 1,000원 | 등록일 2014.06.03
  • 한글파일 아주대 논회실 실험6 결과보고서
    Latch with Enable 1) 실험과정 및 결과 ? 74HC00을 이용하여, Enable 값을 갖는 Latch를 만든다. ... D 플립플롭의 성질을 갖는 Latch with Enable(Gate 이용) 1) 실험과정 및 결과 ? ... JK-FF의 변형 Latch with Enable (Gate 이용) 만들기 1) 실험과정 및 결과 ?
    리포트 | 5페이지 | 1,500원 | 등록일 2014.03.10 | 수정일 2014.03.21
  • 파워포인트파일 VHDL기초강의
    (combinational circuit) 설계 및 실습 Adder Multiplexer Decoder 순차 회로(sequential circuit) 설계 및 실습 F/F, Latch ... 처리문 Process 문 If 문 Case 문 Loop 문 병행 처리문 VHDL의 구조적(structural) 표현 방식 Component 문 Generate 문 * 목차 조합 회로 ... 규모가 커지고 복잡도가 증가 함에 따라 이러한 방법은 한계에 도달 알고리즘이나 기능레벨에서 설계가 가능하도록 하는 HDL이 출현, 하향식(top-down)설계 방식 * 집적회로 설계의
    리포트 | 106페이지 | 1,000원 | 등록일 2010.05.11
  • 한글파일 스톱워치(stop watch) 설계 프로젝트
    아날로그에 대한 회로를 다룰 때는 이러한 부분이 오차가 될 수 있지만 디지털 분야에서는 조금 더 값의 변화가 치명적으로 작용할 수 있다는 것을 느꼈다. ... 결과적으로 10가지 주제의 논리회로 실험을 통해 익혔던 여러 가지 소자들을 가지고 최종적으로 스톱워치의 설계에 성공했기 때문에 상당한 시간이 들고 힘든 과정이었지만 그만큼 성취감도 ... D Latch Enable D Latch D Latch 0.1sec 7segment 1sec 7segment 10sec 7segment 1min 7segment 10min 7segment
    리포트 | 7페이지 | 5,000원 | 등록일 2013.12.26 | 수정일 2020.12.14
  • 한글파일 <논리회로실험>D래치와D플립플롭
    D래치와D플립플롭 * *전자공학과 D latch and D flip-flop * *Electronic Engineering Ⅰ. ... D플립플롭 테스트 회로 그림 9. D플립플롭 테스트 회로 구성 그림 10. ... 그림 8과 같이 테서트 회로를 구성하여라. 클럭(clock)이 지연(delay) 회로를 지나가도록 연결하여라.
    리포트 | 4페이지 | 1,500원 | 등록일 2015.12.14
  • 한글파일 PLC실습 보고서 11~30번
    동작 설명 0번렁에서 푸쉬버튼을 눌러 입력이 ON이 되고 M0.1은 Latch에 의해 Set된다. ... (출력이 ON) 2번렁에서 Latch에 의해 ON되어서 TON(T1)이 돌아가고 3초이내에 1번렁 에있는 푸쉬버튼 2를 누르면 출력이 Unlatch 되어 출력 램프가 꺼진다. ... 할당(Local:1:I:Data.2)한다. - 출력 램프는 output 0번데이터 에 메모리를 할당(Local:3cal:3:O:Data.0)한다. 0번렁에서 푸쉬버튼1누르면 출력은 Latch
    리포트 | 45페이지 | 1,500원 | 등록일 2014.11.11
  • 한글파일 [예비]실험6. Latch & Flip-Flop
    R-S Latch - 순서논리회로는 조합 논리회로와 플립플롭을 가지고 구성한 회로이며, 출력 값은 입력 값과 회로 내부 상태에 의해 정해지는 논리회로이다. ... 순서논리회로에는 기억 기능을 가진 소자인 래치(Latch)나 플립플롭(Flip-Flop)을 사용한다. 즉, 순서논리회로는 기억장치이다. ... 순서논리회로에는 기억 기능을 가진 소자인 래치(Latch)나 플립플롭(Flip-Flop)을 사용한다. 즉, 순서논리회로는 기억장치이다.
    리포트 | 5페이지 | 1,500원 | 등록일 2013.09.28
  • 파워포인트파일 flip flop과 latch
    Flip flop Latch 순차회로( sequential circuit ) - 동기식 순차회로( Synchronous sequential circuit ) flip-flops : ... 2개의 안정된 출력상태 0과 1을 갖는 회로 - 비동기식 순차회로( Asynchronous sequential circuit ) Latch : 한 게이트의 출력에서 다른 게이트의 입력으로 ... (회로의 외부로 부터 입력을 가하지 않는 한 본래의 상태를 유지) - Flip – flop 과 Latch와의 차이점 Latch는 입력이 바뀌면 출력이 바뀌지만 filp-flop은
    리포트 | 6페이지 | 1,000원 | 등록일 2007.01.20
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 05월 09일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:33 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기