• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(639)
  • 리포트(606)
  • 시험자료(18)
  • 방송통신대(11)
  • 논문(3)
  • 자기소개서(1)

"시프트 레지스터" 검색결과 221-240 / 639건

  • 한글파일 실험6 결과보고서
    Shift Register & Counter (결과보고서) *이번 실험은 앞 반에서 결과 값이 나오지 않는다고 하여 시뮬레이션으로 대체하였다.* 실험 1. 시프트 레지스터 A. ... 이번 실험을 통해서 실험1-A와 마찬가지로 시프트레지스트의 특성을 확인 할 수 있었다. 실험 2 집적회로 시프트 레지스터 A. ... 이로 인하여 7496은 시프트레지스터로 작동한다는 것을 유추 할수 있다. 이 실험은 실험 1의 A와 같은 결과를 보이는데 실험 확인하는 것이었다. B.
    리포트 | 9페이지 | 1,000원 | 등록일 2013.01.01
  • 한글파일 시프트카운터
    이론 내용 (1) 링 카운터 (Ring counters) 링 카운터는 시프트 레지스터를 응용한 가장 간단한 카운터로서 그림 15-1과 같이 직렬입력, 병렬출력 시프트 레지스터의 최종 ... 출력을 다시 입력에 귀환시킨 일종의 순환 시프트 레지스터입니다. ... 이렇게 함으로써 클럭을 올렸다 내렸다하면, Q1부터 Q3까지의 논리[1]이 시프트됩니다.
    리포트 | 7페이지 | 1,500원 | 등록일 2016.11.08 | 수정일 2016.11.10
  • 한글파일 논리회로실험_결과6
    시프트레지스터와 카운터 (2)집적회로 시프트 레지스터 Shift Pulse L0 L1 L2 L3 L4 0 L L D D D 1 D L L D D 2 D D L L D 3 D D D ... F/F과 NAND gate를 이용하여 집적회로 시프트레지스터를 구성하고 동작을 알아보는 실험을 먼저 하였었는데 5비트로 구성을 해 보았다. ... 고찰 이번실험은 shift register와 counter의 동작원리와 특성을 알아보는 실험이었다.
    리포트 | 4페이지 | 1,500원 | 등록일 2012.07.13
  • 한글파일 [예비레포트] Verilog 언어를 이용한 쉬프트레지스터 설계
    시프트 레지스터시프트 레지스터는 단일의 데이터가 시프트 레지스터를 거쳐 다수의 출력으로 나타나는 구조입니다. ... 실험 제목 : Verilog 언어를 이용한 쉬프트레지스터 설계실험 목표 1.Hardware Description Language(HDL)을 이해 하고 그 사용방법을 익힌다.2.Field
    리포트 | 4페이지 | 1,000원 | 등록일 2019.04.06
  • 파일확장자 [Flowrian] 4 Bit Shift Register (TTL 7495)의 Verilog 설계 및 시뮬레이션 검증
    - TTL 7495 회로는 4 비트의 데이터를 입력받아 한쪽 방향으로 시프트 시키는 레지스터 이다.- TTL 7495 회로는 아래 기능표와 같이 동작한다.* 입력 S='1' 이면 클럭 ... 4비트 데이터를 출력 Q0 -> Q1 -> Q2 -> Q3 방향으로 시프트 시킨다. ... CP2의 하강에지에 동기되어 외부에서 제공되는 4비트 데이터를 입력 받아 레지스터에 로드하는 동작이다.* 입력 S='0' 이면 클럭 CP1의 하강에지에 동기되어 레지스터에 저장된
    리포트 | 7페이지 | 1,000원 | 등록일 2014.05.28
  • 한글파일 register 회로
    활용 -다양한 종류의 카운터 구성 카운터는 레지스터의 특별한 형태의 하나 -여러 비트의 일시적 저장 -저장된 비트를 좌//우측으로 시프트=> 시프트레지스터 디지털 데이터 처리의 기본이 ... 됨 Register의 종류 -직렬입력-직렬출력 레지스터 -직렬입력-병렬출력 레지스터 -병렬입력-직렬출력 레지스터 병렬입력-병렬출력 레지스터 3.실험 재료 -Dual output DC ... -주파수:100Hz -레벨:5Vpp -DC offset:2.5Vdc A,B,C,D값이 차례대로 시프트되는걸 볼 수 있다. pspice 값 I가 0->1 I가 1->0 3.Register
    리포트 | 13페이지 | 1,000원 | 등록일 2018.11.02
  • 한글파일 [평생교육원,학점은행제] 디지털 공학 개론 과제
    직렬 시프트 기능을 수행하는 레지스터 병렬입력-병렬출력 기능 순서 CLR? ... =1: 시프트 동작 CLK INH 단자가 0이면 클럭펄스가 입력됨 4) IC 74195: Parallel Access 4Bit Shift Register 4비트 병렬입력-병렬출력 기능과 ... 분류에 속하는 IC들을 정리하시오. 1) IC 7491 (8-Bit Shift Register) 8개의 SR 주종형 플립플롭을 직렬로 연결하여 구성한 직렬입력-직렬출력 레지스터 직렬
    리포트 | 6페이지 | 5,000원 | 등록일 2019.02.01 | 수정일 2019.02.15
  • 한글파일 순차회로 설계 예비보고서
    구분된다. - 병렬 레지스터(parallel register)는 2진 정보의 저장을 위해 사용되므로 저장 레지스터(storage register)라고도 하며, 시프트 레지스터(shift ... 또한, 레지스터는 여러 개의 F/F와 그들의 상태전이에 영향을 주는 게이트의 조합으로 구성되어 있다고 할 수 있다. - 레지스터는 동작 방법에 따라 병렬 레지스터시프트 레지스터로 ... Q+ = TQ' + T'Q (3) Register - 레지스터는 한 비트의 2진 정보를 저장할 수 있는 2진 기억 소자인 F/F를 병렬로 연결한 것이다. - F/F의 숫자는 레지스터
    리포트 | 7페이지 | 1,000원 | 등록일 2014.07.25
  • 한글파일 논리회로실험_예비6
    시프트레지스터와 카운터 (1)시프트레지스터로 만들어진 링카운터의 구조와 동작원리에 대해 조사하라. ... 따라서 정보가 순환하면서 유지되고 이것을 순환시프트레지스터라고 하고 링카운터로 사용한다.(링카운터는 한 비트가 레지스터를 순환하는 시프트레지스터 카운터로 볼 수 있다.) ...
    리포트 | 10페이지 | 2,000원 | 등록일 2012.07.13
  • 워드파일 Computer Organization And Architecture ch12 요약본
    register Store the contents of the register in memory location 513 하나의 고급 언어 명령어는 세 개의 기계 명령어들을 필요로 ... 반대편 끝에는 0이 시프트되어 들어옴 Arithmetic shift: 부호비트는 시프트하지 않는다. ... 우측 시프트는 sign bit가 우측으로 복사되고 좌측 시프트는 sign bit는 유지되고 나머지 비트가 logical shift된다.
    리포트 | 6페이지 | 1,000원 | 등록일 2018.11.29
  • 한글파일 아주대학교 논리회로실험 실험7 예비보고서
    그리고 하나의 레지스터의 기억용량을 레지스터 길이라고 한다. 4) Shift Resister 자리보내기를 할 수 있는 레지스터시프트 레지스터라고 한다. ... 시프트 레지스터는 기본적으로 저장된 데이터를 각 클력 틱마다 한 비트씩 이동시킬 수 있는 n비트 레지스터이다. 5) 레지스터 종류 쉬프트 레지스터는 직렬 입력 - 직렬 출력, 직렬 ... 실험목적 실험에 사용하는 7476, 7496 IC의 특성을 파악하고 시프트 레지스터의 동작 원리와 특성을 이해한다. 2.
    리포트 | 6페이지 | 1,500원 | 등록일 2019.02.20
  • 워드파일 verilog 나눗셈기 곱셈기 보고서
    Sh는 regA(피제수 처음에 로드되는 레지스터) 를 왼쪽으로 1 bit 시프트를 진행하라는 출력 신호이다. Su는 regA[16:8]과 제수의 빼기를 진행하라는 출력 신호이다. ... C=1이면 빼기, C=0이면 시프트가 진행된다. 그러다가 K=1이 되면 마지막 연산이 진행되는데 상태는 S0로 옮겨진다. ... C=0인 경우 regA 를 왼쪽으로 한칸 시프트 하고 LSB에 0을 넣는다. S2는 K=1이 될때까지 처음+1~마지막-1 번 연산이 진행되는 상태이다.
    리포트 | 35페이지 | 2,000원 | 등록일 2018.12.27
  • 파워포인트파일 CPU에 대해(컴퓨터레지스터,산술논리연산장치(ALU),컴퓨터명령어,CISC와RISC)
    주소 지정 방식 (base register addressing mode) Op code operand 주기억장치 기준 주소 + 베이스 레지스터 그림 6-41) 베이스 레지스터 주소 ... 주소 지정 방식 R1 R2 R3 6.3 컴퓨터 명령어 Ⅰ 명령어 형식 Ⅱ 명령어 형식에 의한 컴퓨터 구조 Ⅲ 주소 지정 방식 (6) 레지스터 간접 주소 지정 방식 (register ... ALU Ⅰ 산술 연산 회로 Ⅱ 논리 연산 회로 Ⅲ 시프트 연산 회로 Ⅳ 산술 논리 시프트 장치 CPU 내의 여러 레지스터들 중에서 지정된 레지스터의 내용이 내부버스를 통해 ALU
    리포트 | 40페이지 | 1,000원 | 등록일 2018.04.17
  • 한글파일 쉬프트 레지스터 예비
    실험제목 Shift Register 2. ... 병렬 입력-병렬출력 시프트 레지스터 (2)링 카운터 링 카운터는 시프트 레지스터를 응용한 가장 간단한 카운터로서 직렬 입력, 병렬 출력 시프트 레지스터의 최종 출력을 다시 이력에 귀환시킨 ... 직렬 입력-병렬 출력 시프트 레지스터 직렬 입력-병렬 출력 시프트 레지스터는 D형 플립플롭을 사용하여 각 레지스터로부터 출력을 끌어내는 점을 제외하고는 직렬 입력-직렬출력 레지스터
    리포트 | 5페이지 | 1,000원 | 등록일 2009.04.08
  • 한글파일 실험 7. Shift Resistor 예비보고서
    실험 이론 1) Shift Register 시프트 레지스터는 저장된 데이터를 clock신호가 들어오면서 좌우로 이동시키는 장치인데, n개의 플립플롭을 연결함으로써 n비트의 데이터를 ... 실험 목적 실험을 통해 시프트 레지스터의 특성과 동작 원리를 이해하고 flip-flop소자를 이용해 구현해보아 원래의 시프트 레지스터와 비교해보는 것. 2. ... 표시하는 시프트 레지스터를 구현할 수 있다. n bit의 시프트 레지스터의 회로구성은 위와 같은데, D에 입력데이터가 들어오면 clock 신호에 따라 첫 번째 플립플롭에 출력이 나타나고
    리포트 | 7페이지 | 1,000원 | 등록일 2017.12.07
  • 한글파일 [결과레포트] Shift Register Counter
    고찰 모든 실험 자체에서 필요한 것이지만, 존슨카운터 실험 절차에서 어긋나지 않기 위해 브레드보드 에 74195 4-비트 시프트 레지스터를 놓고 회로를 만들던 도중 잘 사용하지 않는 ... 실험 제목 : Ch.23 Shift Register Counter 2. 실험 결과 존슨 카운터 오실로스코프 사진 링카운터 오실로스코프 사진 3.
    리포트 | 4페이지 | 1,000원 | 등록일 2019.04.18
  • 한글파일 전자공학 실험 shift register 결과 보고서
    실험5 shift register 실험1. ... 만약 책 에 나온 실험처럼 1 0 0 0 일 경우 초기 레지스터 값과 반복 패턴에서 레지스터 중 하나가 1 (0)에 제대로 작동하기 위해 미리 로드되어있는 상태여야 한다 즉 즉 시프트 ... 시프트 레지스터 내에 포함 된 데이터 패턴은 클럭 펄스가 인가되는 한 재순환된다이 사진에선 LED에 걸리는 전압이 0 1 1 1 0 1 1 1 0이 걸리는 것을 확인할수 있었는데 이
    리포트 | 3페이지 | 2,000원 | 등록일 2018.06.07
  • 워드파일 논리회로설계실험 FlipFlop Register 예비보고서
    시프트 레지스터에 대하여 좀더 알아 보았다. 시프트 레지스터(Shift register)는 2진 정보를 왼쪽 또는 오른쪽으로 이동 시킬 수 있는 레지스터이다. ... 조합 회로 설계- Flip-flop, Register 실험 목표 Latch와 Flip-flop 그리고 레지스터에 대하여 알아 본다. ... 레지스터(Register) 1비트를 저장할 수 있는 Flip-flip 여러 개를 일렬로 배열하여 적당히 연결 함으로써 여러 비트로 구성된 2진수를 저장할 수 있게 한 것을 레지스터라고
    리포트 | 6페이지 | 1,000원 | 등록일 2018.01.10
  • 한글파일 컴퓨터 구조론 4판 3장 연습문제, 기본문제
    이동 *순환 시프트 : 최상위 혹은 최하위 비트를 버리지않고 반대편 끝으로 이동 *산술적 시프트 : 부호비트를 고려해 수행되는 시프트 3.10 A 레지스터에 ‘10110011’이 ... 3.9 8-비트 레지스터에 2의 보수 ‘10110011’이 저장되어 있을 때, 아래의 시프트 연 산들이 수행된 결과를 구하라. ... 저장되어 있고 C 플래그의 값은 ‘0’으로 세트되 어 있다. (1) SHRC(C 플래그를 포함한 우측 시프트) 연산을 수행한 후의 A 레지스터 내용 을 쓰라. * 0 10110011
    리포트 | 17페이지 | 1,000원 | 등록일 2017.11.25 | 수정일 2018.09.15
  • 한글파일 [Ayeun] 마이크로프로세서응용 10주차 예비보고서 마프(USART)
    수신 시프트 레지스터 ? 패리티 검사기 ? 클록 및 데이터 처리기 ? 수신 제어 및 RXD핀 제어기 제어레지스터 ? 제어레지스터 ? UCSRA ? UCSRB ? ... UBRR(보레이트 레지스터) ? 보레이트 발생기 ? 동기 클록 로직 ? XCK핀 제어기 ? 송신기 ? UDR(송신버퍼) ? 송신 시프트 레지스터 ? 패리티발생기 ? ... UDRn, UCSRnA, UCSRnB, UCSRnC, UBRRnH/L 레지스터 (1) UDRn(USART I/O Data Register) UDRn(USART I/O Data Register
    리포트 | 12페이지 | 1,000원 | 등록일 2018.12.22
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업