• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(465)
  • 리포트(421)
  • 방송통신대(31)
  • 시험자료(7)
  • 자기소개서(6)

"논리회로간소화" 검색결과 201-220 / 465건

  • 한글파일 정보교과에서의 행동분류
    (이해력) - 논리 게이트를 사용하여 논리 회로를 구성할 수 있다. (종합력) 3. 논리 회로간소화 - 논리 회로간소화하는 이유를 설명할 수 있다. ... (이해력) - 불 대수를 이용하여 논리 회로간소화할 수 있다. (적용력) - 카르노 맵을 이용하여 논리 회로간소화할 수 있다. (적용력) 4. ... 논리 회로의 이해 - 논리 회로의 개념을 이해할 수 있다. (이해력) - 논리 게이트의 동작 원리에 대하여 설명 할 수 있다.
    리포트 | 7페이지 | 1,000원 | 등록일 2014.02.26
  • 파일확장자 2011년 1학기 디지털논리회로 기말시험 핵심체크
    디지털시스템의 설계① 회로설계 : 논리소자를 만들기 위해 능동소자와 소동소자를 연결시키는 단계이다.② 논리설계 : 논리소자를 연결하는 단계이다③ 시스템설계 : 프로세서, 입출력 제어장치들을 ... 제1장 컴퓨터와 디지털 논리회로1. 디지털시스템 - 시스템의 정의: 입력과 출력을 갖는 검은 상자로서, 어떠한 목적을 달성하기 위하여 상호작용하는 구성요소들의 집합2. ... 디지털 시스템의 장점① 디지털시스템은 구성요소의 처리과정이 매우 정확하고 동작상태를 예상할 수 있으며, 구성요소의 설계가 용이하다는 장점을 가지고 있음② 직접회로를 사용하여 설계되는
    방송통신대 | 47페이지 | 6,500원 | 등록일 2011.05.12
  • 한글파일 결과보고서 #5
    과 목 : 논리회로설계실험 과 제 명 : #5 조합회로 설계 (결과) 담당교수 : 국태용 교수님 담당조교 : 김태경 이희준 조교님 학 과 : 전자전기공학과 학 년 : 3 반 & 조 ... : A반 4조 학 번 : 2011311307, 2011314184 이 름 : 김영관, 김윤섭 제 출 일 : 2015. 4. 15 논리회로설계 실험 결과보고서 #5 실험 5. ... 실험 목표 멀티플렉서와 산술 논리 연산 장치의 기본개념에 대해 이해한다.
    리포트 | 7페이지 | 2,000원 | 등록일 2016.05.13 | 수정일 2021.07.28
  • 파일확장자 가산기회로를 최소화하여 설계한 원형 Fifo 설계
    본 원형 Fifo는 논리 게이트를 최소화하기 위해 Fifo회로를 구현하는데 필수적인 가산기회로를 최대한 간소화하여 게이트 수를 줄이는데 목적으로 한다. ... RTL 및 게이트 레벨 회로로 구현하여 기능 검증을 쉽고 빠르게 할 수 있으면 Full,Empty신호 발생을 위하여 데이터 감시 논리를 이용하여 앞서 구현한 가산기 회로를 리소스 ... 공유함으로서 회로를 설계하였다.
    리포트 | 5,000원 | 등록일 2013.12.02
  • 한글파일 논리회로보고서 7세그먼트
    전체적인 실험에 대한 고찰 2009211711 김 명준 논리회로 마지막 실험으로 7 - 세그먼트 디코딩에 대해서 실험을 하였다. ... REPORT ━━━━ 과 목 명 논리회로 및 실험 분 반 2 분반(COM203-02) 실 험 날 짜 2013. 06. 04 담 당 교 수 도재수 교수님 소 속 컴퓨터멀티미디어 학부 ... 각각의 출력 값을 카르노맵으로 간소화하여 값을 확인하라. xy 01 11 10 w 00 0 1 1 1 1 bar{a} = w bar{x} y +x bar{y} xy 01 11 10
    리포트 | 7페이지 | 1,500원 | 등록일 2015.11.12
  • 한글파일 논치회로실험 결과 4
    학 부: 전자공학과 과목명: 논리회로실험 교수명: 이정원 교수님 학 번: 201220754 성 명: 조윤성 1. 실험 결과 ① 실험1 ? ... 선택하여 나온 값이 본래는 AND gate로 묶이고, 최종적으로 OR gate를 통해 묶여야 하나, NAND gate(74HC20)만으로 이를 구현할 수 있기 때문에 Chip을 보다 간소화 ... 동일한 회로이므로 진리표는 동일하다. 실제 회로 구현에서 출력단의 왼쪽 위부터 0,1,2,3이었다.
    리포트 | 9페이지 | 1,500원 | 등록일 2016.09.24 | 수정일 2018.10.08
  • 한글파일 논리회로실험 4주차 예비보고서
    기본 이론 논리 회로를 설계함에 있어 부울대수의 특성을 이해하고 이용하면 복잡한 논리회로를 정확하고 간결하게 표현 가능하다. ... 드모르간의 법칙은 논리회로 연산자들로도 표현이 가능한데, 다음과 같이 표기할 수 있다. ... 예 비 보 고 서 4주차 부울대수의 간소화(1) : schematic 이용 분반 : 0 성명 : 000 학번 : 2010000 실험일: 0000.00.00 1.
    리포트 | 3페이지 | 1,000원 | 등록일 2014.01.05 | 수정일 2014.09.30
  • 한글파일 디지털 로직 실험 D래치와 D플립플롭
    이론 요약 지금까지 본 것처럼 조합 논리(combinational logic) 회로는 출력이 완전히 입력에 의해서만 결정되는 회로이다. ... 순차 논리(sequential logic) 회로는 이전 상태에 관한 정보를 포함하고 있다. 차이점은 순차 회로만이 메모리를 가지고 있다는 것이다. ... 시스템을 설계할 때 공통되는 펄스원(pulse source)을 사용하여 시스템에서의 모든 변화를 동기화시켜 동시에 일어나게 함으로써 설계 문제를 간소화 시킬 수 있다.
    리포트 | 8페이지 | 1,000원 | 등록일 2015.07.20 | 수정일 2015.07.29
  • 한글파일 디지털실험 설계1 결과 7447소자의 등가회로 설계
    설계 이론보고서를 쓰면서 1학기에 배웠던 디지털 공학의 9단원 내용, 결과에 카노맵을 이용하여 결과가 나오기 위한 부울대수식을 구하고 그 식을 구현하기 위한 논리회로의 연결. ... 회로를 구성한 사진을 보면 최대한 간소화 했는데도 꽤 복잡한데, 저 소자들 중 한 개의 소자라도 고장이 있으면 결과가 나오지 않는 것을 생각 했을 때 저 기능을 하나의 IC소자로 구현하는 ... 회로를 꾸민 사진이다.
    리포트 | 3페이지 | 1,000원 | 등록일 2014.09.30
  • 파일확장자 2011년 1학기 디지털논리회로 중간시험 핵심체크
    제2장 데이터 표현[1] 수치데이터1. 진법(1) 수와 숫자① 수(number): 그 수를 의미하는 기호인 숫자로서 나타냄② 수는 하나의 개념이고 이것을 인간의 의사소통을 위해 숫자로서 나타냄(2) 진법① 진법의 정의: 수를 숫자로서 나타내는 방법으로 특히 숫자의 위치..
    방송통신대 | 20페이지 | 5,500원 | 등록일 2011.03.22
  • 한글파일 학습지도안(정발고) 논리연산
    논리 회로의 이해 (NAND, NOR, XOR, XNOR게이트) 03. 논리 회로간소화 Ⅵ. 단원 지도상의 유의점 가. 본 단원의 학습동기를 유발시킬 수 있도록 한다. 나. ... 불 대수의 기본 정 리는 논리 연산을 위해 필요하다는 점을 설명한다. 전자 회로간소 화하기 위해 불 대 수의 기본 법칙이 활용된다는 점을 강조한다. ... 논리 연산과 논리 회로 다. 소단원 : 01. 불 대수의 논리 연산, 02. 논리 회로의 이해 Ⅱ.
    리포트 | 9페이지 | 1,000원 | 등록일 2014.06.17 | 수정일 2014.06.19
  • 한글파일 스톱워치 구현 보고서
    위의 간소화된 논리식들을 가지고 회로를 구성해보면 다음과 같다. [10진 카운터 회로] 다음으로 6진 카운터의 상태도는 다음과 같다. 6진 카운터의 회로는 기본적으로 2진 카운터의 ... 위의 상태표를 이용하여 논리식을 간소화해 보면 다음과 같다. ... 즉 n비트의 2진 입력 신호를 2n개의 서로 다른 출력 신호로 변환하는 논리회로이다.
    리포트 | 13페이지 | 2,000원 | 등록일 2013.12.03
  • 한글파일 vhdl 기본적인 논리회로 설계
    ·VHDL 설계 실습 결과보고서 VHDL Lab_01 일시 2013-9-24 전공 실습시간 학번 이름 제목 기본적인 디지털 논리회로의 설계 실습 목적 디지털 논리회로는 schematic과 ... 본 실습에서는 기본 논리 게이트로 구성된 회로를 schematic과 VHDL로 각각 설계하여 시뮬레이션하고 DigComV32에 다운로드하는 과정을 실습함으로써 논리회로 설계 과정과 ... 0 0 0 1 1 1 0 1 0 0 0 0 1 0 0 1 0 1 0 1 0 0 1 0 1 1 0 1 1 0 0 0 1 1 0 1 0 1 1 1 0 0 1 1 1 1 0 카르노맵 간소
    리포트 | 3페이지 | 1,000원 | 등록일 2013.10.30
  • 한글파일 Term Project (7세그먼트 실험) 보고서
    한다. ③ 간소화된 논리식과 논리 게이트를 이용하여 논리회로를 설계한다. ④ 논리회로를 브레드보드에 연결하고, 결과를 관찰한다. 4. ... 것으로 나왔기 때문에 회로간소화를 위해 실험할 때에는 풀업저항을 빼고 실험했다. ... 즉 n비트의 2진 입력 신호를 2n개의 서로 다른 출력 신호로 변환하는 논리회로이다.
    리포트 | 13페이지 | 2,000원 | 등록일 2013.05.07
  • 한글파일 순서논리회로 1 플립플롭 결과보고서
    플립플롭이란 두 개의 안정상태 중 어느 쪽이든지 한쪽을 보존하는 논리회로의 일종이며, 두 개의 상태를 0과 1에 대응시킵니다. ... 또한 이번 회로를 설계하며 느낀 점은, 각 칩의 데이터 시트에 대해 정확히 이해하게 되었으며, 실질적으로 엔지니어가 되기 위해서 비용절감을 위해 얼마나 게이트를 간소화해야하는지 등의 ... ■이번 실험에서는 지난 실험시간에 숙지하였던 기본적인 6가지의 논리게이트 (NOT gate, AND gate, OR gate, NAND gate, NOR gate, XOR gate)
    리포트 | 2페이지 | 1,500원 | 등록일 2014.11.28
  • 한글파일 교육과정탐구 리포트 - 교육과정 설계
    교실 5 11 5/1 - 5/3 정보의 표현 - 2 세가지 기본 논리회로 교실 12 5/6 5/10 진리표 교실 13 5/13 5/17 조합 논리회로 교실 14 5/20 5/24 논리회로의 ... 발표 정보의 표현 (논리회로) P.150 실생활에서의 논리회로가 어디에 사용되는지 찾아 낼 수 있다. ... 응용 교실 15 5/27 5/31 생활속에서 만날 수 있는 논리회로 교실 6 15 6/3 6/7 정보기기의 구성과 동작 운영체제의 개념 컴퓨터 실습실 16 6/10 6/14 운영체제의
    리포트 | 8페이지 | 1,500원 | 등록일 2015.10.04
  • 한글파일 커피 자판기 Term-Project
    한편 논리 회로에 사용된 330Ω은 오픈-컬렉터 타입의 IC에 논리를 출력할 수 있도록 전류를 공급하는 풀업 저항으로 동작하는데, 출력이 논리 1을 출력하면 오픈-컬렉터 타입의 트랜지스터는 ... 이번 실험을 예로 들면 1MHz의 오실레이터에서 나오는 주파수를 1한다. ④ 여기표를 이용하여 입력식과 출력식을 구하고 간소화시킨다. ⑤ 입력식과 출력식을 이용하여 자판기 회로를 설계한다 ... 논리회로에 사용된 1kΩ의 저항은 풀다운 저항으로 동장한다. 2) D 플립플롭 한 가지의 입력만을 공급받는 플립플롭이다.
    리포트 | 17페이지 | 2,000원 | 등록일 2013.12.03
  • 워드파일 서울시립대학교-전자전기컴퓨터설계실험2-제07주-Lab06-Post
    Datasheet - HBE-Combo II-SE VHDL과 Verilog HDL을 이용한 디지털 논리 회로 설계-XILINX-090508, 한백전자 기술연구소. ... 따라서 clock impulse를 나타내는 enable 변수와 flag 변수를 같이 쓰는 것보다 flag 역할을 하는 변수를 하나만 사용하여 programming 하는 것이 코드 간소
    리포트 | 9페이지 | 1,500원 | 등록일 2017.09.04
  • 한글파일 부울대수란
    기능의 회로간소화 >복잡하지 않음, 빠름, 비용절약 >간소화는 대수공식으로 하거나 카르로맵 이용 디지털 시스템, 즉 논리회로는 2진수를 기본으로 사용하죠, 따라서 2진수에 대한 ... 진리표 -> 부울대수 -> 간소화 -> 회로도 방식으로 간소화를 진행합니다. - 기본부울대수 기호 AND · : 논리곱 OR + : 논리합 NOT '(프라임) or ㅡ(바) : 논리부정 ... 논리 회로를 구현할 수 있다.
    리포트 | 3페이지 | 1,000원 | 등록일 2012.11.06 | 수정일 2016.05.31
  • 한글파일 디지털 논리회로(김형근, 손진곤 공저) 4장 연습문제[방통대]
    다음의 진리표를 만족하는 간소화된 논리회로도를 그리시오. ... 부울함수 F(W, X, Y, Z) = {bar{W BULLET X BULLET (Y+Z)}}을 NOR와 NAND 게이트를 이용하여 논리회로도를 그리시오(NOT 게이트가 필요하면 사용 ... 카노우도표를 이용하여 부울함수를 간소화시키면?
    리포트 | 5페이지 | 1,000원 | 등록일 2013.10.24
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업