• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(5,816)
  • 리포트(5,256)
  • 자기소개서(257)
  • 시험자료(178)
  • 방송통신대(109)
  • 논문(13)
  • 서식(2)
  • ppt테마(1)

"논리회로 구성" 검색결과 121-140 / 5,816건

  • 한글파일 NAND와 NOR 게이트를 이용하여 AND, OR, NOT 게이트를 구현하시오
    구성된 과목이다. ... 논리회로 교과 목표이다. ... 참고문헌 강원미, 2013, 멀티심(Multisim)을 활용한 디지털 논리회로의 교육 효과성 연구, 국내석사학위논문 한양대학교, p.5 권철환, 2002, 공업계 고등학교 논리회로
    리포트 | 4페이지 | 2,000원 | 등록일 2023.09.07
  • 파일확장자 [A+, 에리카] [A+] 2021-1학기 논리설계및실험 Flip-Flops, Latch 실험결과보고서
    관련 이론ü Combinational logic circuit vs Sequential logic circuit- 조합논리회로: 메모리가 없어도 현재 입력만으로도 출력이 결정된다.- ... 순차논리회로: 메모리가 상태를 저장하며, 입력값(과거값), 현재값 모두에 따라 출력이 결정된다.ü 기억소자(Memory element)- 말 그대로 기억할 수 있는 소자로, 전원이 ... 경우ü SR-Latch- nor 게이트 2개로 구성되어 있고, 입력 S와 R을 이용하여 상태를 조절할 수 있다.
    리포트 | 7페이지 | 2,500원 | 등록일 2023.02.28
  • 워드파일 컴퓨터 구조와 원리 3.0 3장 연습문제
    (A+B)∙(C+D)=Y 논리회로를 이용하여 다음 불 대수식을 구성하라 다음 불 대수식을 간략화하라.(-A-는 알파벳 위에 직선.) ... AND게이트 NAND게이트 AND게이트 다음 불 대수식을 AND게이트와 OR게이트로 구성하라. A B C D 다음 논리회로의 불 대수식을 표현하라. ... 3.조합 논리회로의 가장 기본적인 회로이다 괄호 속의 두 가지 보기 중 옳은 것을 선택하라 래치 D플립플롭 TRUE TRUE R-S, D, J-K, T 플립플롭의 진리표를 작성하고,
    시험자료 | 3페이지 | 1,000원 | 등록일 2023.12.23
  • 한글파일 디지털회로실험 산술논리연산회로 결과
    이는 이론과 일치한다. : 산술논리연산회로(ALU)의 기능과 구성에 대해서 알게 된 실험이었다. 산술논리연산회로는 산술연산회로논리연산회로구성된다. ... 두 번째, 논리연산회로의 경우 OR, XOR, AND, NOT, MUX(74LS153)칩을 사용하여 결선하였는데 산술연산회로에 비해 간단하게 구성되어서 XOR, AND연산을 했을 때 ... 고찰 : 산술논리연산회로는 산술연산회로논리연산회로를 조합한 것이라는 걸 알았다. 시간 관계상 실험은 산술과 논리를 따로 알아보고 마쳤다.
    리포트 | 4페이지 | 2,500원 | 등록일 2021.04.16
  • 한글파일 예비보고서(5) 부호기복호기
    조합논리회로는 실험 1 기본논리게이트에서 제시된 기본논리게이트들의 조합을 통해서 구성논리회로이고, 순서논리회로는 입력 신호의 순서에 따라서 동작과 출력이 다르게 나타나는 논리회로이다 ... 목적 논리회로는 일반적으로 조합논리회로와 순서논리회로로 구분된다. ... 이로부터 복호기와 부호기의 동작을 측정하여 표 1과 2를 완성한다. (2) 논리게이트들과 LTS 542 디스플레이를 이용하여 binary-to-BCD 부호기 회로(d)를 구성하고 입력
    리포트 | 6페이지 | 2,000원 | 등록일 2020.10.14
  • 한글파일 아주대학교 논리회로실험 / 3번 실험 예비보고서
    실험 이론 조합 논리회로 조합 논리회로는 기본 게이트들의 조합으로 이루어진 논리회로다. ... 조합논리회로는 And, Or, Not의 기본 게이트들의 조합으로 일정한 입력에 대해 원하는 출력을 유도하는 논리회로다. 이 때, 가장 기본적인 조합 논리회로에는 가산기. ... 먼저 위 그림과 같이 회로구성한다. 2. 회로구성에 따르면 XORgate의 출력값이 H(1)로 출력되는 경우의 수는 A,B 중 한 입력만 H(1)로 들어와야 한다.
    리포트 | 8페이지 | 1,000원 | 등록일 2021.07.20
  • 한글파일 폴리텍대학교 NOT OR 게이트 실험결과보고서 할인자료
    실험목적기본적인 논리게이트 NOT OR의 회로구성한 것을 바탕으로 표를 작성해보며 논리표현방법을 익힌다.2. ... 동작 특성에 대하여 잘 이해할 수 있게 되엇고 실험에 필요한 장비들의 용도와 브레드보드에 논리회로를 직접 구성해보며 논리회로 시간에 학습했었던 내용을 다시 확인할 수 있었다. ... 구성하여 출력값을 얻은 것을 표를 작성해서 정리해 보앗다.
    리포트 | 2페이지 | 2,000원 (10%↓) 1800원 | 등록일 2022.05.05
  • 한글파일 실습 9. 4-bit Adder 회로 설계 예비보고서
    설계실습 계획서 9-3-1 전가산기 설계 이론 조합 회로(또는 조합 논리 회로)는 입력과 출력이 있는 논리 게이트의 집합으로 구성되는데, 어떤 시점에서도 오직 현재의 입력값에 따라 ... 실습 9. 4-bit Adder 회로 설계 9-1. 실습목적 조합논리회로의 설계 방법을 이해하고 조합논리회로의 한 예로 가산기 회로를 설계한다. 9-2. ... (D) XOR gate를 이용하여 보다 간소화된 다단계 조합 논리 회로를 설계한다. (E) 설계한 회로중 하나를 선택하여 2Bit 가산기 회로를 설계한다.
    리포트 | 5페이지 | 2,000원 | 등록일 2022.09.19
  • 한글파일 A+ 기계공학 기초실험 TTL-Logic 실험 결과레포트 (결과 보고서)
    회로 구성에 오류가 있나 시어서 조교님의 도움으로 회로를 재구성하였지만 결과값을 얻지 못하였다. ... 디스커션 4인 1조로 조를 구성하여 각 조마다 브레드 보드에 회로구성하고 전원 공급기에 연결을 해서 LED에 불이 들어오나 획인을 하여 결과값을 알았다. ... 입력값을 살펴본다면 논리곱으로 구성된 (A TIMES B')와 (B TIMES A')인데, 논리곱에서 1을 결과값으로 얻기 위해서는 두 입력값이 모두 1이어야 한다.
    리포트 | 9페이지 | 1,500원 | 등록일 2020.10.22
  • 한글파일 디지털공학개론(1. 카운터의 응용으로 디지털 시계의 회로도를 완성해 가는 과정 설명/ 2.4가지 기본형 레지스터의 분류에 속하는 IC들 정리)
    직렬입력 - 직렬출력 레지스터 2) 직렬 데이터를 A 로만 받아들이려면 입력 단자 B를 논리 0으로 해야 함 ▶직렬입력 ? ... 아래의 디지털시계의 블록 다이어그램으로 구성할 수 있다. ... 병렬출력 74195(Parallel Access 7Bit Shift Registers): 4비트의 병렬입력 - 병렬출력 기능과 직렬 시프트 기능을 포함한 레지스터 1) CLR 단자를 논리
    리포트 | 8페이지 | 2,500원 | 등록일 2023.01.17 | 수정일 2024.05.14
  • 한글파일 디지털 회로 실험 및 설계 - 부울대수와 카르노맵, RS Flip Flop 실험 1
    구성과 동작을 실험한다. 2. ... 이와 같은 특성을 이용하여 플립플롭은 메모리로도 많이 활용된다. - 플립플롭은 대표적인 순서 논리회로이다. - 순서 논리회로는 출력을 입력 쪽에 연결한 궤환 회로를 가지고 있으며, ... 플립플롭 - 플립플롭은 전원이 공급되면 1 또는 0의 출력이 유지되는 디지털 회로이다.
    리포트 | 10페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • 한글파일 논리회로실험 반가산기 전가산기
    전자계산기가 발명된 당시에는 진공관에 의해서 구성되었고 현재는 집적회로로 설계되어서 다양한 기능을 가진다. ... 구조적 모델링은 한가지의 파일만 사용하는 것이 아닌 정말 말 그대로 그 회로의 구조적으로 구성요소 코드를 작성 후 본 코드를 작성하는 방법이었다. ... 논리회로설계 실험 예비보고서 #2 실험 2. 반가산기 & 전가산기 1.
    리포트 | 5페이지 | 1,500원 | 등록일 2021.10.01
  • 한글파일 디지털회로1 디지털 논리회로의 전압특성과 지연시간
    논리는 전기회로에서 전압으로 처리된다. 논리 1은 회로에 따라 5V이기도 하지만, 어떤 회로는 12V일 수 있다. ... 디지털회로 1: 디지털 논리회로의 전압특성과 지연시간 20201944박찬영 1. ... 이미 실험한 아날로그 회로에서 신호들의 연속 값은 연속이지만, 디지털 논리회로는 0(False)과 1(True)의 2개의 논리 값만 사용한다.
    리포트 | 4페이지 | 1,000원 | 등록일 2022.04.01
  • 파일확장자 [A+]중앙대 아날로그및디지털회로설계 실습 예비보고서9 4bitadder
    설계한다.D XOR gate를 이용하여 보다 간소화된 다단계 조합 논리 회로를 설계한다.E 설계한 회로중 하나를 선택하여 2Bit 가산기 회로를 설계한다. ... 실습을 위한 이론적 배경:-부울 대수 : 변수, 상수, 연산자, 기본 공리 및 정리로 구성되어 있으며 부울 대수에 나타날 수 있는 상수 값은 0과 1 뿐이다. ... 드 모르간 법칙이 성립한다. : 논리곱을 논리합으로 논리합을 논리곱으로 바꿔주는 법칙으로 NOR은 보수 입력의 AND 게이트로 나타낼 수 있다는 뜻이다.ㄴ4.
    리포트 | 10페이지 | 1,000원 | 등록일 2022.09.08
  • 워드파일 [한국방송통신대학교] 2024년 1학기 디지털논리회로 출석수업과제
    풀이 (4) 3.(3)에서 간소화된 함수로 논리회로도를 작성하시오. 풀이방법 B의 는 NOT 기호를 붙이고 둘은 논리곱으로 이루어져 있으니 AND 기호를 이용하여 표현한다. ... 출석수업 과제물(평가결과물) 표지(온라인제출용) 교과목명 : 디지털논리회로 학 번 : 성 명 : 강 의 실 : 연 락 처 : _______________________________ ... 풀이방법 2진수를 8진수로 변환하려면 2진수를 구성하는 각 자릿수(비트)를 뒤에서부터 3개씩 묶고, 숫자 000 ~ 111을 8진수에 대응되는 0 ~ 7로 변환한다.
    방송통신대 | 6페이지 | 5,000원 | 등록일 2024.05.18
  • 한글파일 디지털공학개론(반가산기 전가산기, 고속가산기, 비교기, 디코더, 인코더, 멀티플렉서, 디멀티플렉서 )
    조합 논리회로는 여러개의 기본 논리 게이트를 가지고 조합하여 원하는 연산을 할 수 있게 한 것이며, 입력,논리 게이트, 출력 으로 구성된다. ※ 조합 논리 회로의 특징 1. ... 이때, 아래 자릿수에서 발생한 캐리까지 포함하여 세 비트를 더하는 논리회로를 전가산기(Full adder)라고 한다. 3개의 입력과 2개의 출력으로 구성되어있다. ... 진리표를 사용하여 간소화한다. 2) 반가산기 반 가산기는 2개의 2진수 X,Y 논리변수를 더하여 합(Sum)과 캐리(Carry)를 산출하기 위한 조합 논리회로이다.
    리포트 | 6페이지 | 8,000원 | 등록일 2021.11.29
  • 워드파일 비동기 카운터, 동기 카운터 설계 예비레포트
    회로구성하고 검사하라. 오실로스코프나 논리 분석기를 가지고 상태 시퀀스 ... 그림 19-5의 회로를 수정한 그림 19-6의 회로를 살펴보라. 회로 작을 예측하고 회로구성하라. ... 앞의 회로를 수정하여 출력 파형을 오실로스코프나 논리 분석기를 이용하여 관찰하라.
    리포트 | 9페이지 | 1,000원 | 등록일 2022.10.09
  • 워드파일 서강대학교 21년도 디지털논리회로실험 2주차 보고서 (A+자료) - Logic Gates, FPGA
    논리 회로를 구현할 수 있다. ... STEP 9: 그림16 – 74LS03을 이용한 회로 그림 16과 같이 회로구성한다. datasheet를 통해 74LS00과 74LS03의 차이를 확인해보면, 74LS00은 standard ... TTL 논리회로에서는 입력과 출력 전류, 전압에 대한 기준이 있다.
    리포트 | 20페이지 | 2,000원 | 등록일 2022.09.18
  • 파일확장자 임베디드 IoT 응용실험 - VHDL을 이용한 8-bit ALU
    A, B와 출력 D가 존재- 가산, 감산, 증가, 감소 등의 8가지 기능* 논리연산 회로- 게이트와 멀티플렉서로 구성- 각 게이트가 정해진 논리 연산을 수행하고 이 결과들 중에서 ... 장치ALU : 중앙처리장치 속에서 연산하는 부분을 ALU라고 한다.이것은 산술연산과 논리연산을 하는 유닛이다.* 산술연산 회로- 전가산기와 멀티플렉서로 이루어진 회로- 두 개의 입력 ... VHDL을 사용하여 논리회로를 기술한다.2. Vivado 환경에서 작업을 한다.3. Xilinx Artix-7 FPGA에 porting 한다.4.
    리포트 | 9페이지 | 3,000원 | 등록일 2022.04.14
  • 파일확장자 [결과보고서]중앙대학교 아날로그및디지털회로설계실습 4-bit Adder 회로 설계
    요약: 논리회로에서 전가산기 회로구성하여 실험하였다. 전가산기 회로는 A(피가수), B(가수), Cin(자리올림수)의 입력과 S(합), Cout(자리올림수) 출력으로 되있다. ... 세 번째 실험은 전가산기 2개로 2Bit 가산기 회로구성하였다. ... 반가산기에서는 고려하지 않은 자리올림을 처리할 수 있도록 한 회로이며 반가산기 2개와 자리올림수로 구성되어있는 회로이다.
    리포트 | 11페이지 | 1,000원 | 등록일 2023.06.23
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 06월 17일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:23 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기