• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(137)
  • 리포트(109)
  • 자기소개서(22)
  • 논문(5)
  • 시험자료(1)

"atmega 시계" 검색결과 1-20 / 137건

  • 워드파일 마이크로 프로세서 ATmega128을 이용한 시계 구현
    기능 hour1 = hour/10;//시계 초 10자리 hour2 = hour%10;//시계 초 1자리 min1 = min/10;//시계 분 10자리 min2 = min%10;// ... 시계 분 1자리 sec1 = sec/10;//시계 시 10자리 sec2 = sec%10;//시계 시 1자리 thour1 = thour/10;//스탑워치 시 10자리 thour2 = ... "PM "; int hour1,hour2,min1,min2,sec1,sec2; int thour1,thour2,tmin1,tmin2,tsec1,tsec2; while(1) { //시계
    리포트 | 13페이지 | 3,500원 | 등록일 2020.12.25 | 수정일 2022.10.10
  • 파일확장자 Atmega128을 이용한 LCD시계 및 온도계
    LCD 시계와 온도계를 제작, 완성하는 것이다. 0시부터 12시까지 오전, 오후로 시간을 표시하도록 하고, 시계가 정상 작동하도록 한다. ... 시계와 온도계는 7 segment를 이용하여 숫자를 표시한다. 프로그램을 짜서 LCD 스크린에 시간을 표시하도록 하고, 시계의 역할을 하도록 만든다. ... , 이번에는 그 경험을 바탕으로 하여 LCD로 시계를 만들어 보았다.
    논문 | 25페이지 | 7,000원 | 등록일 2013.12.06 | 수정일 2017.04.14
  • 파일확장자 (A+) Atmega128 을 사용하여 제작한 다기능 시계(시계,알람,스톱워치,타이머) 코드 소스
    작품 설명 Atmega128을 응용하여 다기능 시계를 만들어 보았습니다. 실생활에 자주 사용되어지는 알람, 스톱워치, 타이머 기능들 을 추가하여 보았습니다. ... 저희가 사용한 Atmega128은 4버튼식으로 구성되어 있습니 다. 그래서 마지막 4번째 버튼을 mode 설정 버튼으로 구성 하는 방법을 고안 하였습니다. ... 첫 번째 기본 화면은 일반 시계입니다. 마지막 4번째 버튼 을 제외한 나머지 버튼으로 시간을 조정할 수 있습니다.
    리포트 | 24페이지 | 3,000원 | 등록일 2019.03.19 | 수정일 2019.03.25
  • 파일확장자 atmega128 segment 스톱워치 초시계
    아트메가128 세그머트 초시계입니다. 40초까지 나오며 실제 시간과 일치합니다. 왼쪽 두자리수는 초, 오른쪽 두자리수는 프레임입니다.
    리포트 | 1,000원 | 등록일 2015.04.15
  • 한글파일 avr/ATmega128을 이용한 디지털시계
    이전에 설계했던 디지털 시계는 단순히 시간만 표시하였지만, 이번에 설계하는 시계는 시간 수정, 스탑 워치 등 시계에 필요한 기본적인 부가 기능을 제공함으로써 실제의 시계에 가깝도록 ... 설계 내용과 방법 - 디지털 시계의 구성 - 디지털 시계의 동작 - DOT MATRIX 제어 - GRAPHIC LCD 및 TEXT LCD 제어 - A/D CONVERTER 제어 - ... AVR KIT를 이용한 디지털 시계 목차 1. 설계 배경 및 목표 2.
    리포트 | 58페이지 | 2,000원 | 등록일 2012.05.07 | 수정일 2019.01.02
  • 파일확장자 ATMEGA128을 이용한 시계,타이머,야구게임
    #include #include #include #include #define RXB8 1#define TXB8 0#define UPE 2#define OVR 3#define FE 4#define UDRE 5#define RXC 7#define FRAMING_ERR..
    리포트 | 7페이지 | 2,000원 | 등록일 2012.12.26
  • 파일확장자 <<AVR 알람시계 만들기>>AVR알람시계,디지털워치,와치,ATmega128,회로도,소스코드,동작원리,타이머,1초,시간설정,AVR디지털시계,전자시계,부저,디지탈시계,디지털시계 제작
    ATmega128 MCU에 내장된 EEPROM은 4 KB의 데이터 사이즈를 가지고 있다. 메모리 번지는 0x0000 ~ 0x0FFF (4096개) 로 할당되어 있다. ... ['[AVR 알람시계 만들기] + 회로도, 소스코드, 동작원리' 본문 내용 中 발췌]Ⅰ. 목 표보고서에서는 AVR을 이용한 디지털 알람시계를 만드는 법에 대하여 알아보도록 한다. ... 본 디지털 시계에서는 아래와 같이 총 5 byte의 데이터를 저장하고 불러온다.
    리포트 | 29페이지 | 7,000원 | 등록일 2015.12.04 | 수정일 2017.04.20
  • 파일확장자 AVR(Atmega128)을 이용한 디지털 시계
    설계 목적 및 목표❒ 설계 목적ATmega128에 입출력을 할 수 있는 간단한 시스템을 직접 설계해 보는 프로젝트 수행과정에서 시스템 설계 능력이 증진되고, 창의성, 공학적 상상력, ... 기존의 디지털 시계에 시간(AM/PM), STOP WATCH 기능을 추가 한다.2. ... 외부 switch interrupt를 이용하여 시간을 수정한다.4. 4개의 딥스위치 Dipswitch를 사용하여 시계 조작의 편리성을 갖춘다.5.
    리포트 | 31페이지 | 3,500원 | 등록일 2011.06.27
  • 한글파일 ATmega128 시계 전압계 설계 소스, 코드
    사용하여 시계 및 Voltmeter 설계 대표 그림/사진 요 약 문 ATmega128을 이용하여 시계 및 Voltmeter를 설계하였다. ... 2010년도 대학교 마이크로프로세서 공학프로그램 설계 최종보고서 ATmega 128 설계 2010년 12월 20일 소속: 팀원: 지도교수: 과제요약서 작품 제목 ATmega128을 ... 디지털 시계를 설계하기위하여 분주비를 설정하고 인터럽트를 사용하여 완벽한 디지털 시계를 설계해 볼 수 있었다. 두 번째 설계로는 Voltmeter설계를 하였다.
    리포트 | 14페이지 | 2,000원 | 등록일 2011.12.09
  • 한글파일 AVR 마이크로 프로세서 ATmega128 을 이용하여 시계, 스탑 워치, 알람, 다운 카운터 실험 설계 프로젝트 (코드 포함)
    Mode 0 : Digital Clock 가장 기본적인 기능으로써 Timer을 이용한 디지털시계이다. ... 그리고 7447과 FND, Regulator의 데이터시트도 필요하였다. ① ATMega128의 제원 ATmega128 8bit risc microcontroler 16Mhz 포트 양방향 ... 실험 목적 Microprocessor 실험 및 설계 과목에서 배운 내용들을 활용하여 AVR Processor 기반 Microcontroller Unit인 ATmega128 라이트 모듈로
    리포트 | 29페이지 | 5,000원 | 등록일 2017.01.11
  • 파일확장자 AVR ATMEGA128을 이용한 디지털 시계 설계
    이전에 설계했던 디지털 시계는 단순히 시간만 표시하였지만, 이번에 설계하는 시계는 시간 수정, 스탑 워치 등 시계에 필요한 기본적인 부가 기능을 제공함으로써 실제의 시계에 가깝도록 ... 총 4개의 SWITCH를 사용하여 시계 조작의 편리성을 갖춘다. 2. 설계 내용과 방법1.1. ... 기존의 디지털 시계에 년, 월, 일(요일), 시간(AM/PM), STOP WATCH 기능을 추가 한다.2.
    리포트 | 58페이지 | 5,000원 | 등록일 2009.02.09
  • 파일확장자 ATmega128을 이용한 절전형 디지털 시계
    회로도 및 소스코드실장된 회로 설명 및 소스코드에 주석 삽입밥 한끼만 굶고, 작품 제출해서 A+ 받으세요
    리포트 | 14페이지 | 8,000원 | 등록일 2007.12.06 | 수정일 2020.02.11
  • 파일확장자 <<AVR 스탑워치 만들기>>AVR스탑워치,초시계,디지털초시계,atmega128,회로도,소스코드,동작원리,스톱워치,타이머카운터,세그먼트,segment,타이머
    목 표 AVR ATmega128을 이용하여 스탑워치(Stop Watch)를 구현해본다. ... · Program tool : AVR Studio, Atmel studio · Compiler : AVR-GCC · Language : C language · AVR MCU : ATmega128
    리포트 | 11페이지 | 4,000원 | 등록일 2015.10.25 | 수정일 2017.04.20
  • 파일확장자 <<AVR을 이용한 컴퓨터 사용시간 타이머 만들기>>AVR타이머,전자시계,카운터, AVR졸업작품,ATmega128,회로도,AVR작품,아트메가128,작품 만들기,디지털 시계,시간측정
    ['[AVR을 이용한 컴퓨터 사용시간 타이머 만들기] + 회로도, 소스코드, 동작원리' 본문 내용 中 발췌]Ⅰ. 목 표 본 작품은 사용자가 컴퓨터를 사용한 시간을 측정하고 표시하는 타이머다. 컴퓨터에 전원이 들어올 때부터 타이머의 시간이 00:00:00(시:분:초)..
    리포트 | 18페이지 | 4,000원 | 등록일 2016.03.02 | 수정일 2017.04.20
  • 파일확장자 ATmega8을 이용한 시계 및 온도계
    ATmega8을 이용한 디지털 시계 및 온도계 - 목 차 - 1. 작품 설명 ---------------------------- p3 2. ... 작품 설명 (1) Summary ATmega-8을 사용한 디지털 시계 및 온도계 - display : LCD - LM35DZ 온도 센서를 이용 - 버턴을 ... 이용해 시계의 시간, 분과 온도 설정 기능 - 내가 설정한 온도보다 현재온도가 높을 시 멜로디IC가 울리게 하는 기능 (2) Purpose - 타이머 - 인터럽트
    리포트 | 15페이지 | 10,000원 | 등록일 2007.07.05
  • 한글파일 [마이크로 프로세서]ATmega8515 디지털 시계 제작
    ATmega8515 디지털 시계 제작? ... 1.목적 ATmega8515 Controller의 구조와 동작을 이해하고 제어하는 방법을 통해 LCD를 이용해 시계를 Display하는 것을 목적으로 한다. 2.기능 1.시간 display ... 제작후기 이번에 만들어본 LCD 시계는 8051 다
    리포트 | 10페이지 | 1,500원 | 등록일 2006.05.31
  • 워드파일 [졸업작품]ATmega128을 이용한 LCD 시계 및 온도계
    LCD 시계와 온도계를 제작, 완성하는 것이다. 0시부터 12시까지 오전, 오후로 시간을 표시하도록 하고, 시계가 정상 작동하도록 한다. ... 시계와 온도계는 7 segment를 이용하여 숫자를 표시한다. 프로그램을 짜서 LCD 스크린에 시간을 표시하도록 하고, 시계의 역할을 하도록 만든다. ... , 이번에는 그 경험을 바탕으로 하여 LCD로 시계를 만들어 보았다.
    리포트 | 23페이지 | 3,000원 | 등록일 2007.02.11
  • 한글파일 [마이크로프로세서] atmega 128을 이용한 설정 가능한 시계, 밝기조절, 온도표시 설계(도트매트릭스 하드웨어설계, 소프트웨어 코드 포함)
    source code 7∼16 Clock & Temperature sensing system - 16 □ 설계 목적 ○ Real time clock 과 PCF8563을 이용하여 시계 ... Level up. □ 참고자료 ○ Data sheet : ATmega128, PCF8563 ○ 강의 자료 ○ 인터넷검색 □ Firmware source code #include ... 사양 ○ 상온 25℃에서 5 인 thermistor 사용 ○ CdS는 보통 수 에서 손으로 가리면 60 이상 올라가는 소자 사용 ○ 8 by 8 LED dot matrix 사용 ○ ATmega128
    리포트 | 18페이지 | 5,000원 | 등록일 2011.03.29
  • 파일확장자 [마이크로프로세서] [졸업작품]디지털시계 LCD 멜로디알람 온도표시 [ATMEGA8535L]
    디지털 시계입니다. 16x2 Line LCD에 시간을 표시했으며, 여기에 온도계 표시기능도 넣었기 때문에 보통의 일반 디지털 시계와 차원이 다릅니다.CPU는 AVR 계열의 ATMEGA8535L ... :AVR CPU인 ATMEGA8535L-8 CPU를 사용한 LCD 디지털 시계이며, 알람 출력으로 멜로디 전용 IC를 사용했다. ... 버턴을 누를 때마다 부저 음이 울리게 되어있으며, 이 시계의 특징은 온도 표시 기능이다. 온도 센서를 이용해서 LCD 창에 현재의 온도를 표시 하였다.
    리포트 | 315페이지 | 10,000원 | 등록일 2005.06.23
  • 한글파일 마이크로프로세서+6주차+예비보고서 타이머와 카운터
    실험목적 ATmega128 마이크로컨트롤러의 타이머/카운터 기능을 이용하여 디지털 시계를 구현하는 방법을 알아보며 이의 발생 원리 이해하고 제어 방법을 습득한다. 2. ... 예를 들어서 우리가 사용하는 ATmega128은 7372800Hz로 매우 빠른 속도이다. ... 마지막으로 ATMega128 타이머 오버플로우 인터럽트 프로그램의 틀에 대해 확인하겠다.
    리포트 | 8페이지 | 2,000원 | 등록일 2020.01.02 | 수정일 2020.11.12
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업