• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(174)
  • 리포트(167)
  • 시험자료(4)
  • 자기소개서(2)
  • 방송통신대(1)

"XOR 게이트와 그 응용" 검색결과 61-80 / 174건

  • 한글파일 기본논리게이트 예비보고서
    XOR 게이트의 논리식은 X=A+B=AB+AB로 표기. 응용을 하면 XOR 게이트는 패리티확인회로와 이진 레이 부호 변환기에 응용된다. 5. ... 둘째, NOR게이트에 NOT게이트를 직렬로 연결하면 OR 게이트와 같다. 2.5 XOR 게이트응용 XOR게이트레이 부호 변환과 패리티 확인 등에 이용되는 논리소자로서, 양쪽 ... 기본논리게이트 1. 목적 기본논리게이트인 NOT, AND, OR, NAND, NOR, XOR 게이트의 동작 특성 및 응용에 대하여 살펴본다. 2.
    리포트 | 3페이지 | 1,000원 | 등록일 2013.03.29
  • 워드파일 Combinational Logic Design ⅠArithmetic Logic and Comparator
    Inlab 4.응용과제 XOR 게이트를 이용한 감산기를 설계하시오. ... 또한 이러한 과정이 끝나면 응용과제를 수행하며 다른 게이트 또한 설계할 수 있는지 알아본다. ... 후 Manage Configuration Project (iMPACT) 실행한다.
    리포트 | 17페이지 | 1,000원 | 등록일 2016.04.06
  • 한글파일 기본 논리 게이트 결과보고서
    실험 결과 (1) 2입력 AND, OR, NAND, NOR, XOR 게이트 표 1 논리게이트 진리표 입력 AND OR NAND NOR XOR A B 전압(V) 논리 전압(V) 논리 ... 응용 표 2 NAND 및 NOR 게이트응용 입력 출력 A B 회로 (f) 회로 (g) 전압(V) 논리 전압(V) 논리 0 0 0.21 0 0.172 0 0 1 3.50 1 0.172 ... 실험 결과 (계속) (3) 다른 소자에 의한 XOR 게이트의 구성 표 3 다른 소자에 의한 XOR 게이트의 구성 입력 출력 A B 회로 (h) 회로 (i) 회로 (j) 전압(V) 논리
    리포트 | 4페이지 | 1,000원 | 등록일 2013.11.19
  • 한글파일 디지털로직실험/최신 디지털 공학 실험 14 D래치와 D플립플롭
    우리가 실험을 시작하고 첫 회로인 S-R래치를 만드는 과정에서 실수로 7400 4조 NAND게이트가 아닌 7486 XOR게이트로 실험을 했다. ... 사용 부품 적색 LED 녹색 LED 7486 4조 XOR 게이트 7400 4조 NAND 게이트 7404 6조 인버터 7474 2조 D 플립플롭 저항 : 330Ω 2개, 1.0kΩ 2개 ... D 플립플롭의 테스트 및 래치와 플립플롭에 대한 몇 가지 응용 회로 조사.
    리포트 | 18페이지 | 1,000원 | 등록일 2014.06.29
  • 한글파일 디지털로직실험 5장 추가 논리 게이트
    이번 실험으로 얻은 점은 XOR게이트를 이용하여 한쪽에는 1의 값을 넣어 주면 다른 한쪽의 입력 값의 반전이 출력 된다는 점을 알수 있었으며, 이를 응용하여 제어 시스템을 만들 수 ... 다음, 실험 보고서의 표 5-2애 있는 가능한 모든 입력 조합을 연결하여 7432의 OR 게이트 중 하나를 테스트하여라. ... 실험 5 추가논리게이트 ● 실험 목표 □ 실험을 통하여 OR와 XOR의 진리표 결정. □ 펄스 파형을 이용하여 OR와 XOR 논리 게이트 테스트. □ OR와 XOR 게이트를 사용하여
    리포트 | 8페이지 | 3,000원 | 등록일 2013.06.22
  • 한글파일 게이트와 트랜지스터논리게이트, 게이트와 NAND게이트, 게이트와 TTL게이트, 게이트와 OR(논리합회로)게이트, 게이트와 XOR(베타적 논리합)게이트, 게이트와 게이트웨이 분석
    게이트와 XOR(베타적 논리합)게이트 XOR 게이트의 논리식은 X = A + B = AB + AB (1) 로 표기할 수 있으므로 AND, OR, NOT 게이트를 사용하여 XOR 게이트를 ... 홈 게이트웨이에서는 클라이언트 응용(UPnP CP)이 존재하며, 이 응용은 사용자 인터페이스를 제공하는 HTTP서버와 통신하면서 실제 UPnP 장치들에게 제어 명령을 보내게 된다. ... 일반적으로 입력이 N개일 때 진리표에는 2N개의 항이 나타나며, 이들 중에는 입력이 모두 1 인 경우에만 출력이 0으로 되고 외에는 모두 출력이 1 이 된다. 1.
    리포트 | 7페이지 | 5,000원 | 등록일 2013.02.24
  • 한글파일 순서논리회로 1 플립플롭 결과보고서
    ■이번 실험에서는 지난 실험시간에 숙지하였던 기본적인 6가지의 논리게이트 (NOT gate, AND gate, OR gate, NAND gate, NOR gate, XOR gate) ... 러한 플립플롭을 책에 나와있는대로 설계하여보고 또 결과값을 이론값과 비교하는 실험 이었습니다. ... ■플립플롭이 어디에 응용되는가?? - 비동기2진카운터, 비동기식10진카운터, 동기식2진카운터, 직병렬계수기 등 전자공업의 기초가 되는 부분입니다.
    리포트 | 2페이지 | 1,500원 | 등록일 2014.11.28
  • 한글파일 디지털 로직 실험 D래치와 D플립플롭
    . □ 4개의 NAND 게이트와 하나의 인버터로부터 게이트된(gated) D 래치 구성과 테스트. □ D 플립플롭의 테스트 및 래치와 플립플롭에 대한 몇 가지 응용 회로 조사. 2. ... 사용 부품 적색 LED 녹색 LED 7486 4조 XOR 게이트 7400 4조 NAND 게이트 7404 6조 인버터 7474 2조 D 플립플롭 저항: 330 Ω 2개, 1.0 kΩ ... 리고 CLR 입력에 LOW를 연결한 후, 다음 HIGH를 연결하여라. 4.
    리포트 | 8페이지 | 1,000원 | 등록일 2015.07.20 | 수정일 2015.07.29
  • 한글파일 디지털 시스템 실험
    XOR게이트 XOR게이트는 논리 회로의 조합으로 만들 수 있지만, 많은 응용회로에서 중요하게 사용되므로 이들 게이트는 자신의 유일한 기호를 갖는 기본 논리 소자로 취급된다. ... AND AND게이트는 두 개 또는 이상의 입력을 이용하여 논리 곱셈을 수행한다. 아래 표는 진리표이며 논리식은 이다. ... OR OR게이트는 두 개 또는 이상의 입력을 가질 수 있으며 논리 덧셈을 수행한다. 아래표는 진리표이며 논리식은 로 나타낸다.
    리포트 | 5페이지 | 1,000원 | 등록일 2012.07.18
  • 한글파일 예비 Basic Gates
    실험2에서는 1개의 입력에 대해 출력이 반전되어 나오는 Inverter가 추가된 점이 실험1과는 다른 점이다. 5. 회로 결선도 ... 종류에는 AND, OR, XOR, NOT, NAND, NOR 의 여섯 가지 종류가 있다. < Boolean algebra & equation > : 영국의 수학자 조지 불(George ... 이들 변수 간의 상관관계를 논리곱(AND), 논리합(OR), 부정(NOT) 등의 연산자로 논리적으로 나타낼 수 있다 불 대수의 이 2가지 측면은 디지털 계산에 사용되는 전자 회로에 응용
    리포트 | 5페이지 | 1,500원 | 등록일 2013.12.26
  • 한글파일 01 AND, OR, NOT 게이트 예비보고서
    두식이 NAND게이트를 통과하면 (A'B'*A'B')'이 되고 이것은 결국 A+B가 되어 OR게이트와 같다. ... 일반 논리 게이트 응용 1. 목적 가. 논리회로의 기본이 되는 게이트들의 기본논리와 동작원리를 이해한다. 나. 논리게이트들을 이용하여 논리실을 구현하고 동작을 확인한다. 2. ... XOR게이트(X=A?
    리포트 | 8페이지 | 1,000원 | 등록일 2012.11.06
  • 한글파일 논리회로실험. 실험3. 가산기 & 감산기
    XOR 게이트와 AND 게이트가 어떤식으로 1비트 자리 수 의 합으로 등가할 수 있는지 확인했다. ... 기술의 영향력 이해: 기술과 기술의 적절한 응용 및 잠재적 영향에 대한 이해를 높인다. 6. ... 이를 통해 반가산기 두 개를 결합해 Carry를 고려한 전가산기 회로를 구성하고 논리식과 2비트 자리 수 덧셈을 등가하는 것을 확인했다. 감산기의 경우에도 마찬가지였다.
    리포트 | 14페이지 | 2,000원 | 등록일 2015.12.21 | 수정일 2016.06.02
  • 한글파일 기본논리게이트 예비보고서
    (1) 제목 : 기본논리게이트 (2) 실험목적 : 이번 실험에서는 기본논리게이트인 NOT, AND, OR, NAND, NOR, XOR 게이트의 동작 특성 및 응용에 대해 여러 가지 ... 논리 전압 논리 0 0 0 1 1 0 1 1 ② 표2를 이용하여 NAND 및 NOR게이트가 각각 OR게이트 및 AND게이트응용될수 있음을 확인하라 입력 출력 A B 회로(f) ... 리고 전파 지연 특성을 조사하라.
    리포트 | 8페이지 | 1,000원 | 등록일 2012.04.28
  • 한글파일 연산회로 예비보고서
    림 1⒝에서 보여주듯이 두 개의 수 A`,``B를 입력으로 하여 각각 XOR 게이트와 AND 게이트를 통과시켜 얻은 출력에 해당한다. ... 이 병렬 가산기는 단순히 4단의 전가산기를 연결시켜 놓은 것으로, 회로의 구성은 간편하지만 아랫단의 계산이 완료되어야만 자리올림을 받아서 윗단의 계산을 할 수 있으므로 동작 시간이 ... 또 반가산기와 전가산기의 관계를 대로 응용하여 림 5⒜의 반감산기로부터 전감산기를 구성하면 림 6과 같게 된다. 2.6 병렬 감산기와 직렬 감산기 병렬 감산기(parallel
    리포트 | 3페이지 | 1,000원 | 등록일 2015.12.20
  • 한글파일 디지털 시스템 실험
    XOR게이트로 구성되었으며 Err_로 시작하는 부분이 에러를 발생시키는 부분으로 Err_값을 1로 설정하면 에 맞는 부분이 XOR게이트를 통해 1또는 0으로 전환되어 들어간다. ... 참고문헌 디지털 시스템 실험 : 원리 응용 설계 (한빛미디어) http://www.altera.com
    리포트 | 3페이지 | 1,000원 | 등록일 2012.07.18
  • 한글파일 가산기,감산기 회로 실험(결과)
    반가산기회로를 구성할 때 XOR과 AND게이트로 구성하는 방법과 XOR과 NAND와 NOT게이트로 구성하는 방법이 있었다. ... S와 D는 XOR게이트로 서로 같지만 C는 AND게이트로 구성되고, b는 NAND게이트 3개로 구성된다. ... XOR, AND 게이트로 구성 XOR, NAND, NOT 게이트로 구성 (4) 전가산기에서 덧셈을 할 때 아랫자리에서 발생하는 자리올림수(carry) 를 고려하는 이유는 무엇인지 설명하여라
    리포트 | 9페이지 | 2,000원 | 등록일 2012.10.11
  • 한글파일 부울 대수와 기본 논리회로 실험
    리고 기본 논리 게이트를 사용하여 XOR게이트의 기능을 수행하는 여러 가지 등가 회로를 구현해보고 동작 특성을 이해한다. 실험순서 1. ... NAND게이트로 구성된 XOR 회로 ? 회로도 ? Run ? ... XOR게이트를 이용한 짝수 패리티 발생기 ? 회로도 ? Run ?
    리포트 | 14페이지 | 1,000원 | 등록일 2012.01.29
  • 한글파일 [토끼] 10진 카운터 VHDL 구현 및 합성, 분석 _ 상세 설명, 코드 기재
    < 카운터에 사용하는 xor 게이트의 ss모델 출력 > 참고로 가장 특성이 안 좋은 Xor 게이트의 출력이다. ... 위는 512KHz의 4비트 카운터 > 응용논리의 범위는 벗어나지만 근본적으로 고주파일 때 파형이 제대로 안나오는 문제를 해결하려면 공정기술의 문제라고 생각된다. ... 하지만 Mos의 경우 각 모델별로 FF,SS,SF,FS,TT 시뮬레이션을 하여 최악의 값을 가정하여 설계를 해야 했고 결과 SS모델에서 주로 글리치 현상이 심하게 발생하였었다.
    리포트 | 16페이지 | 5,000원 | 등록일 2013.01.16 | 수정일 2020.07.13
  • 워드파일 논리게이트
    AND, OR, NOT, XOR 리고 XNOR의 진리표가 표 3-1(a)에서 (e)까지에 있다. 표에는 정논리 HIGH와 LOW를 각각 0과 1로 표시되어 있다. ... XOR는 A와 B중의 같지 않으면 (입력은 “disagree")게이트의 출력은 HIGH이다. ... 이 회로는 응용할 곳이 없는 것처럼 보이겠지만, 실제로는 버퍼로 사용되어진다. ICSP의 증폭으로 인해 버퍼는 큰 구동 전류를 공급한다.
    리포트 | 6페이지 | 1,000원 | 등록일 2012.11.20
  • 워드파일 전자전기컴퓨터설계실험2(전전설2)4주차결과
    XOR를 이용한 진리표 - A ^ 0 = A A 0 결과값 0 0 0 1 0 1 - A ^ 1 = ~A A 1 결과값 0 1 1 1 1 0 Ⅱ. ... HDL의 문법을 이용하여 원하는 게이트를 구현하기 위해서 project 파일을 HDL로 설정하여 생성한다. 2. text file로 생성 Project 파일과 마찬가지로 원하는 게이트를 ... 실험의 목적(Purpose of this Lab) Xilinx 프로램으로 HDL 중 하나인 verilog를 사용하여 가산기 구현의 응용인 감산기를 구현하고 또한 비교기를 구현한다.
    리포트 | 19페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업