• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(281)
  • 리포트(255)
  • 시험자료(12)
  • 논문(9)
  • 서식(3)
  • 자기소개서(2)

"Vending machine" 검색결과 1-20 / 281건

  • 워드파일 기초전자회로실험_vending machine
    Lab05 Vending Machine I. ... 실험과정 및 결과 -문제의 정의로부터 Vending Machine의 구조인 [실험 내용1]의 회로도에 대한 동작 설명 또, 무슨 물건을 살 것인지 얼마를 넣을 것인지 신호를 입력하면 ... 따라서 우리가 실험하는 Vending Machine의 input값은 총 4개인데, S를 통해 물건 선택(0일 때 500원짜리음료수 1일 때 600원짜리 음료수) N2를 통해 입력 받는
    리포트 | 11페이지 | 2,000원 | 등록일 2020.12.20 | 수정일 2022.07.18
  • 파일확장자 A+ 디지털 시스템 실험 최종 프로젝트 자판기 <vending machine>, PPT포함
    실험제목최종 프로젝트 – Vending Machine실험목표① 자판기를 설계한다.② FPGA 보드에 올려 동작을 검증한다.실험결과1. ... Vending Machine Module의 입출력은 다음과 같다. ① Input ∙ RST, CLK, Change_sel : 1bit ∙ Coin [2:0] : 3bit, ... Machine Module이 된다.
    리포트 | 9페이지 | 10,000원 | 등록일 2020.01.07 | 수정일 2020.12.09
  • 한글파일 VHDL_4_counter, sequence detector, 4way traffic light counter, Soda vending machine
    실습제목: 4비트 비동기 2진 상승 카운터 1. 주제 배경 이론 카운터는 event의 횟수를 카운트 하는 기능을 가진 순차회로이다. 주로 플립플롭을 이용하여 만든다. 그래서 플립플롭의 수와 연결 방식에 따라 최대로 할 수 있는 카운터 값이 바뀐다. 카운터는 Clock을..
    리포트 | 34페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.04.04
  • 워드파일 [영어 에세이] Should soft drinks like Coke or Pepsi be sold in school vending machines? / opinion essay
    Should soft drinks like Coke or Pepsi be sold in school vending machines? ... In many schools today, students have access to them in vending machines. ... For the sake of school-going children, soft drinks should not be sold in school vending machines.
    리포트 | 1페이지 | 1,000원 | 등록일 2021.01.05
  • 파일확장자 자판기 베릴로그코드 테스트벤치(testbench) 포함 / Vending machine / Verilog code / 베릴로그코드 설명 결과보고서 포함 / ASIC 설계 / 논리회로 / 디지털 설계
    가격이 1000원 및 1500원인 콜라를 판매하는 자판기를 각각 Verilog code로 구현하였습니다. 코드파일(.v)과 머신에 대한 설명 및 시뮬레이션 결과에 대한 파일(.docx)이 포함되어 있습니다. 1500원 콜라 자판기의 경우 모델심 시뮬레이션에 필요한 ..
    리포트 | 3페이지 | 2,500원 | 등록일 2020.10.17 | 수정일 2020.10.22
  • 워드파일 ModelSim - Vending machine
    디지털시스템 Vending Machine 과목명: 디지털시스템 목차 FSM 란? ... Output: change 3) Choice = 1, Input: coin/ Output: drink, change Verilog code `timescale 1ns/1ns module VENDING_MACHINE
    리포트 | 13페이지 | 1,000원 | 등록일 2018.01.29
  • 한글파일 VHDL VLSI SOC 설계vending machine
    vending machine 1. vending library ieee; use ieee.std_logic_1164. all; use ieee.std_logic_unsigned. all ... ; use ieee.std_logic_arith.all; entity vending is port( clk : in std_logic; rst : in std_logic; coin_in ... ; architecture behave of vending is begin process(clk, rst, coin_in, coffee_set, coffee_serve) begin
    리포트 | 3페이지 | 1,000원 | 등록일 2018.11.18
  • 파일확장자 vending machine(자판기)
    quartus를 이용하여 verilog HDL code로 작성한 vending machine(자판기)입니다. 실제 자판기와 유사하게 작동되도록 구현했습니다.
    리포트 | 13페이지 | 3,500원 | 등록일 2014.06.28 | 수정일 2014.07.03
  • 한글파일 디지털 실험 텀프로젝트(vending machine)
    machine 설계 설계 기간 2016년도 2학기 지도교수 팀원 이름 학번 ☎ E-mail 이름 학번 ☎ E-mail 이름 학번 ☎ E-mail 목표설정 설계 목표 1. ... Term project 설계계획서 XXX 교수님 - 기초회로 및 디지털 실험 1조 학번 : 이름 : 제출일자 : 2016-12-01 교과목 명 기초회로 및 디지털실험 설계 제목 Vending
    리포트 | 3페이지 | 4,000원 | 등록일 2016.11.30
  • 파일확장자 자판기 시스템 운영문제의 휴리스틱 해법 개발과 평가 (A Heuristic for the Operation Problem of the Vending Machine System)
    the product allocation to vending-machine storage compartments, replenishment intervals of vending machines ... The operation of vending machine system presents a decision-making problem which consists of determining
    논문 | 10페이지 | 4,000원 | 등록일 2017.01.04 | 수정일 2023.04.05
  • 한글파일 Coffee Vending Machine의 verilog code 및 보고서
    Project Coffee Vending Machine 1. Introduction 여러 가지 기능을 가진 Coffee Vending Machine을 설계한다. ... NORMAL, BUSY, GIVE_CH, ERROR 상수 정의 module Coffee_Vending_machine( //Input Clock, nReset, Input_Money1 ... 출력이 현재상태와 입력에 둘다 영향을 받으므로 무어 머신(Moore Machine)으로 State Machine을 설계를 하였다. always @(negedge nReset or posedge
    리포트 | 15페이지 | 2,000원 | 등록일 2016.05.20
  • 한글파일 Vending Machine 설계
    명 : 제출일자 : 2005. 11. 15 Vending Machine Vending Machine ? ... is end tb_vending_machine; architecture tb of tb_vending_machine is component vending_machine port ( ... ; architecture Behavioral of vending_machine is signal coin_100_value : integer range 0 to 600; signal
    리포트 | 7페이지 | 10,000원 | 등록일 2009.05.27
  • 파일확장자 Vending Machine 설계 과제
    시뮬레이션은 모든 Case를 다해본 것이 아니라 대표적인 Case들 만을 지정하여 설계한 Vending Machine이 예상했던 바에 따라 동작하는 것을 확인해 볼 수 있었다.
    리포트 | 12페이지 | 2,500원 | 등록일 2009.09.01
  • 한글파일 디지털 회로 설계 프로젝트 vending machine (자판기)
    VENDING MACHINE table of contents a. ... machine에 필요한 load 기능만 이용했다. adder의 output은 다시 register의 입력으로 들어간 후 최종 output으로 나오게 해야 한다. ... 연산을 수행하는) 회로를 만들기 위해 74194와 7483을 이용했다. shift register의 hold, shift left, shift right, load 기능 중 이 vending
    리포트 | 7페이지 | 2,000원 | 등록일 2013.01.02 | 수정일 2021.01.31
  • 한글파일 FSM Vending-Machine 실험설계
    FSM Vending-Machine 설계① HDL 코드library IEEE;use IEEE.std_logic_1164.all;use IEEE.std_logic_unsigned.all
    리포트 | 4페이지 | 1,000원 | 등록일 2009.12.06
  • 한글파일 자판기(vending machine) VHDL
    자판기(vending machine) 디지털 시스템 설계의 예로 자판기(vending machine)를 설계하고자 한다. ... 의한 설계 자판기(vending machine) key 입력 상태도 자판기(vending machine)를 Moore Machine으로 구현하면 과 같이 상태를_logic ... 은 자판기(vending machine)의 블록도 이다.
    리포트 | 8페이지 | 2,000원 | 등록일 2007.04.12
  • 워드파일 [회로 실험] Vending machine
    (G=1) Mealy Machine 으로 Vending Machine 의 State diagram 을 그리되 state 의 수를 최소화하라 (총 S0,S1,S2 3개의 state 로 ... Vending Machine Abstract ) 순서 논리를 응용하고 플립플롭의 적당한 사용과 먹스를 사용하여 50원, 100원이 들어갈 수 있는 자판기를 설계해 본다. ... [실험] (여기서부터 Prelab 수행) 실험 개요 Sequential Vending Machine 설계 실험 순서 문제의 이해 및 제약 조건 이 자판기는 100원 짜리와 50원 짜리
    리포트 | 14페이지 | 5,000원 | 등록일 2005.09.25
  • 파워포인트파일 무인약판매기(Automedical Drug Vending Machine) 설계
    생산자동화 Tern project Drug Vending Machine 발표자 : 박정민 김용원 1. 장치개요 2. 장치특징 3. 시장현황 및 시장성 4. 시장제품 현황 5.
    리포트 | 28페이지 | 1,500원 | 등록일 2009.03.09
  • 파일확장자 VHDL 로 만든 자판기(vending machine) 컨트롤러 소스입니다.
    (vending machine) 실행화면은 모델심 수행화면입니다.
    리포트 | 6페이지 | 5,000원 | 등록일 2008.03.30 | 수정일 2021.06.28
  • 한글파일 Bluetooth 모듈을 이용한 MP3 Vending Machine & Player
    Laptop으로 Vending Machine 코딩 ? Vending Machine 프로그램 Bluetooth장치와 연동하게 코딩 6월 ? 전체적인 Debugging ? ... 주제명 Bluetooth 모듈을 이용한 MP3 Vending Machine & Player 2. ... Vending Machine 프로그램으로 구현 ?MP3 Player 제작 ? 자료 조사 ? 부품 구입 ? MP3 Player Bluetooth 모듈 연동 ?
    리포트 | 50페이지 | 10,000원 | 등록일 2008.07.22 | 수정일 2020.11.01
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업