• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(1,382)
  • 리포트(1,214)
  • 자기소개서(93)
  • 시험자료(59)
  • 논문(7)
  • 방송통신대(6)
  • 이력서(2)
  • 서식(1)

"비동기카운터" 검색결과 141-160 / 1,382건

  • 한글파일 아주대학교 논리회로실험 / 8번 실험 Counter 에비보고서
    카운터는 크게 비동기카운터동기카운터로 나뉜다. 비동기카운터는 직렬 카운터라고 불린다. ... 마찬가지로 이 또한 플립플롭의 특성을 이어받아 비동기카운터동기카운터로 구분하는데, 이러한 Counter의 동작원리와 특성을 이해하고 2진 카운터의 응용을 확인, 카운터를 ... 실험 이론 Counter (카운터) (상향) 비동기카운터 동기식 BCD 카운터 Counter는 수를 세는 계수능력을 갖는 논리회로로, pulse를 세어서 수치를 연산한다.
    리포트 | 5페이지 | 1,000원 | 등록일 2021.07.20
  • 파일확장자 [A+]중앙대학교 아날로그및디지털회로설계실습 카운터 설계 예비보고서
    또한 chattering 방지 회로에 대하여 학습한다.설계실습계획서11-3-1 4진 비동기 카운터이론부의 그림 14-2의 비동기식 4진 카운터에 1MHz의 구형파 (square wave ... 이러한 현상을 chattering 현상이라고 하는데, 경우에 따라서는 회로 오동작의 원인이 되기도 한다.실습목적JK Flip Flop을 이용한 동기식, 비동기카운터를 설계해 보고 ... 또한, 입력 신호, Q1 신호, Q2 신호의 파형을 함께 그린다. simulation 결과를 보면, Q1(=U1A:Q)은 주기가 2us이므로 4진 비동기 카운터에 1MHz의 구형파를
    리포트 | 7페이지 | 1,000원 | 등록일 2021.09.02
  • 파일확장자 아날로그 및 디지털회로 설계실습 / 결과보고서 / 11.카운터 설계 / A+성적인증포함 / 해당학기 전체성적포함
    요약Flip Flop을 활용한 순차식 논리회로인 비동기 8진 카운터와 16진 동기 카운터 회로를 제작하고 실험하였다. ... 그리고 스위치를 눌러가며 000에서 111까지 토글되며 8진 비동기 카운터가 올바르게 동작하는 것을 확인하였다. 다음으로 16진 동기 카운터를 제작하고 동작 상태를 확인하였다. ... 초기상태 0000부터 1111까지 LED 점등을 통해 올바르게 동작하는 것을 확인하였으며, 8진 비동기 카운터와 유사하게, 최대 표현 숫자 1111에서 스위치를 누르면 초기상태 0000으로
    리포트 | 6페이지 | 1,500원 | 등록일 2020.11.13
  • 파일확장자 중앙대학교 아날로그및디지털회로설계실습(3-2) A+ 11차예비보고서-카운터 설계
    설계실습 계획서3-1 4 진 비동기 카운터이론부의 그림 14-2 의 비동기식 4 진 카운터에 1MHz 의 구형파(square wave)를 인가할 때, Q1 신호의 주파수와 Q2 신호의 ... 실험 목적JK Flip Flop 을 이용한 동기식, 비동기카운터를 설계해보고 리셋 기능을 이용하여 임의의 진수의 카운터를 제작할 수 있는 능력을 배양한다. ... 더불어 CLK 신호로 1MHz 의 구형파를 인가할 수 있도록 그림 1 과 같이 주기가 1us 인 pulse 파를 인가하였다.- 이론적으로 1MHz 의 입력을 비동기식 4 진 카운터
    리포트 | 5페이지 | 1,000원 | 등록일 2021.10.06
  • 한글파일 [디지털공학개론] 1. 카운터의 응용으로 디지털시계의 회로도를 완성해 가는 과정을 설명하시오. 2. 4가지 기본형 레지스터의 분류에 속하는 IC들을 정리하시오.
    카운터는 비동기 카운터, 동기 카운터, 프리셋 카운터 등이 있다. ... 비동기 카운터는 직렬 카운터이며 다수의 플립플롭을 종속으로 연결하는 구조를 가지고 있으며, 플립플롭의 출력 전이가 다른 플립플롭을 트리거하는 원인으로 작용하기 때문에 '리플 카운터'라고도 ... 디지털 시계에 사용되는 카운터는 enable 제어 신호로 설계되어야 한다. enable 제어 신호를 사용하는 이유는 모든 카운터가 하나의 클럭 펄스와 동기화되므로 enable 제어
    리포트 | 5페이지 | 2,000원 | 등록일 2022.06.30
  • 한글파일 메카트로닉스 순차논리회로 -99카운터 실습 레포트
    카운터에는 동기식과 비동식으로 나뉘는데 동기식은 출력이 동시에 나오고, 비동기식은 시간차를 두고 차례대로 나오는 것입니다. 2.실험 방법 위의 회로도에 따라 기판에 회로 및 부품들을 ... 처음에는 숫자가 비정상적으로 올라가는 시행착오가 있었으나, 세명이서 이를 동시에 조립하다보니 하나씩 연결선이 제대로 꼽혀있지 않은 부분에서 문제가 발생한 듯했습니다. ... 5진 카운터로 구성되어 있습니다. 2진카운터와 5진카운터를 각각 따로 만듦으로써 10진 카운터로 사용하기 위해서는 2진카운터 출력을 5진 카운터 입력으로 넣어줄 필요가 있습니다.
    리포트 | 4페이지 | 2,000원 | 등록일 2021.04.30
  • 한글파일 충북대 기초회로실험 카운터 회로 결과
    비고 및 고찰 이번 실험은 비동기카운터동기카운터의 구조 및 동작원리를 이해하는 실험이었다. 실험 2는 비동기식 10진 리플 카운터에 대한 실험이었다. ... 실험 8은 비동기식 down 카운터에 대한 실험이었다. ... 실험 6은 동기식 up 카운터에 대한 실험이었다.
    리포트 | 3페이지 | 1,500원 | 등록일 2021.09.10 | 수정일 2021.09.15
  • 한글파일 8장 순차논리회로 설계 및 구현(2) 예비
    의해 동기화 되는 것을 동기카운터라 하며, 그렇지 않고 각 각의 플립플롭이 독립된 입력 펄스에 의해 상태를 변화하는 것을 비동기카운터라 한다. ... 동기카운터와 비동기카운터의 차이점에 대해 설명하라. ☞ 플립플롭들이 상태를 변화해야 할 때, 상태 변화를 동시에 일으킬 수 있도록 하기위해, 플립플롭의 동작이 공통 입력 펄스에 ... 클리어 신호는 비동기 신호로서 모든 플립플롭의 CLR 입력단자로 연결되어 모든 레지스터를 리셋 상태로 만드는데 사용되며 일반적으로 초기화 회로에 이용된다.
    리포트 | 7페이지 | 1,000원 | 등록일 2021.01.06
  • 한글파일 디지털 논리회로 실험 10주차 Counter 결과보고서
    특히, (1), (2)의 경우 모두 십진 카운터로 동작하는 원리에 대하여 서술하시오.응용실험 (1)에서 4-bit 비동기식 십진 카운터를 구현했다. ... 비동기카운터를 만들기 위해 CLK를 순차적으로 다음 플립플롭에 연결하면 한 번에 연산되지 않는 회로를 구현할 수 있다. ... 십진 카운터는 10개의 BCD 코드값을 상태값으로 가지는 카운터를 말하며, BCD 카운터 라고도 한다. 4개의 플립플롭을 직렬로 연결하면 일반적으로 16가지의 출력상태가 생기게 되는데
    리포트 | 8페이지 | 2,000원 | 등록일 2021.04.22
  • 파일확장자 [예비보고서]중앙대학교 아날로그및디지털회로설계실습 카운터 설계
    실습 목적JK Flip Flop을 이용한 동기식, 비동기카운터를 설계해 보고 리셋 기능을 이용하여 임의의 진수의 카운터를 제작할 수 있는 능력을 배양한다.
    리포트 | 4페이지 | 1,000원 | 등록일 2023.06.23
  • 파일확장자 논리회로실험 A+결과보고서 8 Counter
    고찰이번 실험은 카운터의 동작 원리와 특성을 이해하고 N진 카운터(실험에서는 2진 3진), 동기카운터, 비동기카운터, BCD counter와 7-segment의 출력의 특징과 ... 실험 과정 및 결과실험 1) 2단 2진 Counter – 비동기식 Counter⇒실험1은 2개의 J-K F/F를 1개의 74HC76칩을 이용하여 회로를 구성하고 그 결과를 2-input ... ⇒비동기식이므로 클럭은 첫 번째 단의 F/F로 인가되며 첫 번째 단의 출력이 두 번째 단의 입력으로 인가된다.⇒출력이 4개이므로 4개의 AND gate를 이용해서 결과를 확인한다.
    리포트 | 8페이지 | 1,000원 | 등록일 2020.10.09
  • 한글파일 제어계측공학과 졸업작품 『블루투스를 이용한 차량 BCM제어』
    이러한 RS232C 통신은 두 가지로 구분되는데 바로 동기식과 비동기식이 있다. 본 작품에서는 비동기식 통신 인터페이스를 사용하기로 한다. ... 비동기식이란 타이밍을 중심으로 데이터를 송수신할 수 있는 UART(Universal Asynchronous Receiver & Transmitter) 통신 인터페이스를 말한다. ... if((type_ovf==4)&& (bothled==1) && (onoffcontrol==0)){ PORTC=0x06; //좌우 방향지시등 점등 TCNT1=0x00; //타이머/카운터1
    논문 | 34페이지 | 4,000원 | 등록일 2020.05.31 | 수정일 2020.06.07
  • 워드파일 [예비레포트] Mod-n 카운터
    않은 비동기 카운터가 있다. ... mod-16 카운터: 비동기 mod-16 카운터 회로 비동기 mod-16 카운터 회로 PSPICE 결과 CLK의 Leading edge 마다 0000 ~ 1111까지 출력됨을 확인할 ... 실험 전 예비보고서 준비할 때 비동기 Mod-16 카운터(실험1), Leading Edge 동기 Mod-16 카운터(실험2) 그리고 Trailing Edge 동기 Mod-16 카운터
    리포트 | 8페이지 | 1,000원 | 등록일 2019.06.02
  • 한글파일 (A+/이론/예상결과/고찰) 아주대 논리회로실험 결과보고서9
    결국 비동기카운터는 A,B의 경우의 수가 [0,0 / 0,1 / 1,0 / 1,1] 총 4가지로 나올 수가 있다. ... 비동기식인 카운터는 이전 F/F의 출력이 그 다음 F/F으로 들어가므로 이전 F/F의 출력에 영향을 많이 받 는 회로이다. ... 이러한 비동기/동기 Counter의 특징을 다시 생각해보면, 무수히 많은 F/F이 비동기식으로 연결되어있다면 2진수로 표현되고, 동기식으로 연결되어있다면 Q값이 순서대로 5V를 전달해가며
    리포트 | 8페이지 | 1,000원 | 등록일 2021.10.24
  • 한글파일 마이크로프로세서 실험- 타이머와 카운터
    ●ASSR(ASynchronous Status Register) ◆비동기 상태 레지스터 ◆타이머/카운터0이 외부 클럭에 의하여 비동기 모드로 동작하는 경우 관련된 기능을 수행하는 레지스터 ... ◆4개의 타이머/카운터 중 0번과 2번 타이머/카운터 ◆PWM 및 비동기 동작 모드를 갖는 8비트 업/다운(Up/Down) 카운터 ◆8비트 카운터 : 28 = 256, 즉 0~255까지 ... - 비동기모드 - 카운터는 외부 핀(TOSC1, TOSC2, T1, T2, T3)을 통해서 들어오는 펄스를 계수(Edge Detector)하여 Event Counter로서 동작 ●
    리포트 | 16페이지 | 2,000원 | 등록일 2020.10.05
  • 한글파일 8주차-실험19 예비 - 카운터 회로
    카운터 회로 담당교수 : 교수님 학 부 : 전자공학부 학 번 : 이 름 : 실 험 조 : 제 출 일 : 2015. 10. 28 실험제목 : 카운터 회로 실험목적 : (1) 비동기식 ... 카운터(Asynchronous counter)의 원리를 설명하라. ⇒ ‘비동기카운터’는 첫 단의 플립플롭에 클럭 신호가 인가되어 이 플립플롭의 출력이 다음 단의 플립플롭을 트리거 ... 단점 : 플립플롭의 전파지연시간은 종속 접속된 플립플롭의 수만큼 누적되어 최종 단의 출력에 나 타나므로 계수속도가 느리다. (3) 비동기카운터에서 클럭펄스의 주파수가 높아지면 어떠한
    리포트 | 14페이지 | 1,500원 | 등록일 2020.10.02 | 수정일 2022.10.16
  • 한글파일 [학습지도안][임용시험][임용고사] 중고등학교 교사(특수학교 교사 포함) 임용후보자 선정경쟁시험 학습지도안작성시험 모범답안지입니다. 지도안 작성에 큰 도움이 될 것입니다.
    PT 자료 학습 동기 유발 ? 도면의 치수에 맞게 완성된 실습 작품이나 예시자료를 활용하여 동기를 유발할 수 있도록 한다. ... 필요한 자료를 쉽게 얻을 수 있고, 또 다른 사람들에게 정보를 주어 도움을 줄 수도 있다. · 지적 재산권으로 보호되고 있는 자료를 불법적으로 공유하거나 이용해서는 안 된다. · 비영리 ... 드릴링 머신을 이용하여 카운터 보이 및 카운터 싱크 작업을 할 수 있다.
    리포트 | 4페이지 | 2,500원 | 등록일 2022.08.06
  • 파일확장자 PLL DIIVDIER 생기초 커피값으로 C라도 받아가자!
    동기카운터 (리플 카운터) ㅇ 클록 펄스에 모든 플립플롭이 동기화되지 않으며 동작함 - 보통, 첫번째(LSB) 플립플롭에 만 클록펄스에 동기됨 ㅇ 특징 - 단점 : 각 플립플롭을 ... 동기카운터 (병렬 카운터) ㅇ 클록 펄스에 모든 플립플롭이 동시에(병렬로)/동기화되어 동작함 ㅇ 특징 - 첫 째단은, 매 클럭 마다 보수(토글) 됨 그 외 단은, 자신 보다 낮은 ... 설계 결과 ..PAGE:10 1) 2N분주 회로 ③ 8분주 회로(비동기식) 3. 설계 결과 ..PAGE:11 2) 6분주 회로(동기식) 3.
    리포트 | 20페이지 | 1,500원 | 등록일 2021.03.18 | 수정일 2021.04.12
  • 한글파일 디지털 회로 실험-NCS 심화교육
    실험2는 74161 동기식 16진 카운터 회로를 시뮬레이션한 결과이다. 3) 실험결과 및 느낀 점 : 새로운 회로를 실험해볼 수 있는 좋은 시간이었다. ... 비안정 멀티 바이브레이터, 74161회로, 7-세그먼트 3개의 회로를 이용하여 출력을 확인해보는 실험이다. 2) 결과와 이론 비교 : 실험1은 비안정 멀티 바이브레이터 타이머 555를 ... 반복되는 카운터가 만들어지고 7-세그먼트에 1~6까지 숫자가 발생하는 것을 확인할 수 있다.
    리포트 | 6페이지 | 2,000원 | 등록일 2022.09.10
  • 한글파일 9주차-실험19 결과 - 카운터 회로
    비고 및 고찰 이번 실험은 동기카운터와 비동기 카운터, 그리고 Up/Down 카운터 등 다양한 카운터의 구조와 동작들을 이해하기위한 실험을 했습니다. ... 카운터 회로 담당교수 : 교수님 학 부 : 전자공학부 학 번 : 이 름 : 실 험 조 : 제 출 일 : 2015. 11. 04 실험제목 : 카운터 회로 실험목적 : (1) 비동기식 ... 이 실험으로 비동기카운터의 고유 특성을 확인할 수 있었습니다. (2) 의 회로를 구성하고, CLK를 16번 인가하여 출력상태를 기록하고, timing diagram을 작성하라.
    리포트 | 6페이지 | 1,500원 | 등록일 2020.10.02
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 05월 03일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:01 오전