• 캠퍼스북
  • 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(347)
  • 리포트(284)
  • 방송통신대(24)
  • 시험자료(20)
  • 자기소개서(15)
  • 논문(4)

바로가기

방송통신대 - 2024 방송통신대 리포트 및 과제물 업데이트, 중간고사/기말고사자료

"디지털논리회로 요약" 검색결과 1-20 / 347건

  • 한글파일 디지털 논리회로 수업 요약 레포트
    시계로 예를 들면, 초침과 분침이 회전하는 형태의 눈금 시계는 아날로그 시계이고 시간이 숫자로 표시되는 형태의 시계는 디지털 시계이다. (2) 디지털 시스템과 아날로그 시스템전기 전자회로는 ... 디지털과 아날로그(1) 디지털 신호와 아날로그 신호디지털 시스템이 아날로그 시스템과 대조되는 점은 이산적인 단위량의 정수배로 표시하고, 이산량을 이용하여 정보를 처리한다는 점이다. ... 아날로그-디지털 변환기(ADC) : 연속적으로 변하는 다양한 정보를 이산적인 정보로 변환하기 위해 사용아날로그 시스템에 비해 디지털 시스템의 장점 6가지.첫째, 디지털 시스템은 내부와
    리포트 | 41페이지 | 3,000원 | 등록일 2021.06.21
  • 파일확장자 [컴퓨터과학과] 2020년 1학기 디지털논리회로 교재전범위 핵심요약노트
    제1장 컴퓨터와 디지털 논리회로1. ... 안정성: 0과 1로 유지되므로 높은 안정성 ⑤ 견고성: 잡음 등에 강함 ⑥ 정확성: 논리적인 처리로 정확한 결과 도출 - 중략 - ... 아날로그 시스템: 입력과 출력이 아날로그 데이터인 시스템 (예: 기상 시스템과 같은 모든 자연 시스템) ② 디지털 시스템: 입력과 출력이 디지털 데이터인 시스템 (예: 디지털시계,
    방송통신대 | 76페이지 | 11,000원 | 등록일 2020.01.06
  • 파일확장자 [컴퓨터과학과] 2019년 1학기 디지털논리회로 교재전범위 핵심요약노트
    제1장 컴퓨터와 디지털 논리회로1. ... 안정성: 0과 1로 유지되므로 높은 안정성 ⑤ 견고성: 잡음 등에 강함 ⑥ 정확성: 논리적인 처리로 정확한 결과 도출 - 중략 - ... 아날로그 시스템: 입력과 출력이 아날로그 데이터인 시스템 (예: 기상 시스템과 같은 모든 자연 시스템) ② 디지털 시스템: 입력과 출력이 디지털 데이터인 시스템 (예: 디지털시계,
    방송통신대 | 76페이지 | 9,900원 | 등록일 2019.01.14
  • 파일확장자 [컴퓨터과학과] 2018년 1학기 디지털논리회로 교재전범위 핵심요약노트
    제1장 컴퓨터와 디지털 논리회로1. ... 안정성: 0과 1로 유지되므로 높은 안정성 ⑤ 견고성: 잡음 등에 강함 ⑥ 정확성: 논리적인 처리로 정확한 결과 도출 - 중략 - ... 아날로그 시스템: 입력과 출력이 아날로그 데이터인 시스템 (예: 기상 시스템과 같은 모든 자연 시스템) ② 디지털 시스템: 입력과 출력이 디지털 데이터인 시스템 (예: 디지털시계,
    방송통신대 | 76페이지 | 9,000원 | 등록일 2018.05.24
  • 파일확장자 [컴퓨터과학과] 2017년 1학기 디지털논리회로 교재전범위 핵심요약노트
    디지털시스템의 설계① 회로설계 : 논리소자를 만들기 위해 능동소자와 소동소자를 연결시키는 단계이다.② 논리설계 : 논리소자를 연결하는 단계이다③ 시스템설계 : 프로세서, 입출력 제어장치들을 ... 제1장 컴퓨터와 디지털 논리회로1. 디지털시스템 - 시스템의 정의: 입력과 출력을 갖는 검은 상자로서, 어떠한 목적을 달성하기 위하여 상호작용하는 구성요소들의 집합2. ... 디지털 시스템의 장점① 디지털시스템은 구성요소의 처리과정이 매우 정확하고 동작상태를 예상할 수 있으며, 구성요소의 설계가 용이하다는 장점을 가지고 있음② 직접회로를 사용하여 설계되는
    방송통신대 | 72페이지 | 9,000원 | 등록일 2017.01.17
  • 파일확장자 [컴퓨터과학과] 2016년 1학기 디지털논리회로 교재전범위 핵심요약노트
    디지털시스템의 설계① 회로설계 : 논리소자를 만들기 위해 능동소자와 소동소자를 연결시키는 단계이다.② 논리설계 : 논리소자를 연결하는 단계이다③ 시스템설계 : 프로세서, 입출력 제어장치들을 ... 제1장 컴퓨터와 디지털 논리회로1. 디지털시스템 - 시스템의 정의: 입력과 출력을 갖는 검은 상자로서, 어떠한 목적을 달성하기 위하여 상호작용하는 구성요소들의 집합2. ... 디지털 시스템의 장점① 디지털시스템은 구성요소의 처리과정이 매우 정확하고 동작상태를 예상할 수 있으며, 구성요소의 설계가 용이하다는 장점을 가지고 있음② 직접회로를 사용하여 설계되는
    방송통신대 | 72페이지 | 9,000원 | 등록일 2016.01.13
  • 파일확장자 [컴퓨터과학과] 2014년 1학기 디지털논리회로 교재전범위 핵심요약노트
    디지털시스템의 설계① 회로설계 : 논리소자를 만들기 위해 능동소자와 소동소자를 연결시키는 단계이다.② 논리설계 : 논리소자를 연결하는 단계이다③ 시스템설계 : 프로세서, 입출력 제어장치들을 ... 제1장 컴퓨터와 디지털 논리회로1. 디지털시스템 - 시스템의 정의: 입력과 출력을 갖는 검은 상자로서, 어떠한 목적을 달성하기 위하여 상호작용하는 구성요소들의 집합2. ... 디지털 시스템의 장점① 디지털시스템은 구성요소의 처리과정이 매우 정확하고 동작상태를 예상할 수 있으며, 구성요소의 설계가 용이하다는 장점을 가지고 있음② 직접회로를 사용하여 설계되는
    방송통신대 | 72페이지 | 9,000원 | 등록일 2014.02.13
  • 한글파일 랩볼트- 디지털 논리회로 내용 요약한 레포트에용
    . unit fundamentals Digital circuit Fundamentals 1 회로 보드는 5개의 회로 블록으로 구성되어 있다. ... unit 1 Circuit Board introduction unit objective - Digital circuit fundamentals I 회로 보드를 확인하고 작동 시켜 본다 ... 표준 LS 게이트에서 입력신호가 낮은 상태, 불확실한 높은 논리 상태에서 입력 전류값을 아래 표에서 보여준다.
    리포트 | 26페이지 | 1,000원 | 등록일 2001.05.13
  • 파일확장자 [컴퓨터과학과] 2021년 1학기 디지털논리회로 출석수업대체시험 핵심체크
    제1장 컴퓨터와 디지털 논리회로1. ... 1로 유지되므로 높은 안정성 ⑤ 견고성: 잡음 등에 강함 ⑥ 정확성: 논리적인 처리로 정확한 결과 도출 3) 디지털 시스템의 설계 및 논리회로(1) 디지털 시스템의 설계① 회로설계 ... (circuit design) 단계: 능동소자와 수동소자를 연결시키는 단계② 논리설계(logic design) 단계: 논리회로를 만들기 위해 논리소자들을 연결시키는 단계- 중략 -
    방송통신대 | 29페이지 | 6,000원 | 등록일 2021.04.14
  • 파일확장자 [컴퓨터과학과] 2019년 1학기 디지털논리회로 기말시험 핵심체크
    제1장 컴퓨터와 디지털 논리회로1. ... 안정성: 0과 1로 유지되므로 높은 안정성 ⑤ 견고성: 잡음 등에 강함 ⑥ 정확성: 논리적인 처리로 정확한 결과 도출 - 중략 - ... 아날로그 시스템: 입력과 출력이 아날로그 데이터인 시스템 (예: 기상 시스템과 같은 모든 자연 시스템) ② 디지털 시스템: 입력과 출력이 디지털 데이터인 시스템 (예: 디지털시계,
    방송통신대 | 76페이지 | 9,900원 | 등록일 2019.05.18
  • 파일확장자 [컴퓨터과학과] 2019년 1학기 디지털논리회로 출석수업대체시험 핵심체크
    제1장 컴퓨터와 디지털 논리회로1. ... 안정성: 0과 1로 유지되므로 높은 안정성 ⑤ 견고성: 잡음 등에 강함 ⑥ 정확성: 논리적인 처리로 정확한 결과 도출 3) 디지털 시스템의 설계 및 논리회로(1) 디지털 시스템의 설계① ... 회로설계(circuit design) 단계: 능동소자와 수동소자를 연결시키는 단계② 논리설계(logic design) 단계: 논리회로를 만들기 위해 논리소자들을 연결시키는 단계-
    방송통신대 | 29페이지 | 5,500원 | 등록일 2019.05.12
  • 한글파일 논리게이트 레포트
    요약 및 결론 19 Ⅰ.실험 목적 ※ 기본 논리 게이트의 동작특성을 이해한다. ※ 기본 논리 회로를 이해하고 회로의 구성방법과 측정방법을 실습한다. ※ 실제 논리 회로를 구성하여 이론과 ... 통신, 디지털 논리회로등의 실습을 하나의 장비에서 실습할 수 있도록 기본 계측기(DMM, F/G, OSC and DAQ)가 내장 되어 있는 통합장비를 뜻하며, AC Power, Varible ... DC Power, Variable Resistor와 아날로그, 디지털 스위치 등을 이용하여 Bread board의 회로를 구성하여 Speaker, FND, LED 등의 출력장치를
    논문 | 18페이지 | 3,000원 | 등록일 2022.12.09
  • 워드파일 123. (전공_PT 주제) 반도체 디지털 회로설계 에 있어서 HDL 코딩, 시뮬레이션, 디지털 회로 합성, 포스트 시뮬레이션의 직무를 비교하시오.
    디지털 회로합성 논리 회로의 설계를 자동으로 생성하는 과정을 의미합니다. ... 디지털 회로 합성은 HDL 코드를 사용하여 논리적인 회로를 구현하는 과정입니다. HDL 코드를 입력으로 받아 논리 게이트 수준의 회로를 생성합니다. ... 결어 (요약) 요약하면, HDL 코딩은 회로의 기능과 동작을 기술하는 초기 작업이며, 시뮬레이션은 회로 동작을 확인하고 검증하는 단계입니다.
    자기소개서 | 4페이지 | 3,000원 | 등록일 2023.06.09
  • 한글파일 [토론 A+] 조합 논리 회로와 순차 논리회로를 비교하시오.
    아울러 상태를 저장하고, 입력 및 이전 상태에 따라 결과를 출력하거나 상태를 변경합니다. 1.3.3 요약 조합 논리 회로는 현재 입력에만 의존하며, 내부 상태를 저장하지 않습니다. ... 아울러 순차 논리 회로는 메모리, 계수기, 디지털 센서, 디지털 신호 처리 장치 등과 같은 디지털 시스템에서 매우 중요한 역할을 합니다. ... 또한 순차 논리 회로는 레지스터, 플립플롭, 카운터, 메모리 등의 디지털 회로로 구성됩니다.
    리포트 | 2페이지 | 1,500원 | 등록일 2023.11.30
  • 워드파일 삼성전자 DX부문_회로설계_최종합격 자기소개서_자소서 전문가에게 유료첨삭 받은 자료입니다.
    디지털 회로설계 - Verilog HDL을 이용한 디지털 회로 설계 및 시뮬레이션 역량 - FPGA를 이용한 회로 구현 경험 보유 - 수학적 지식과 논리적 사고력 보유 ... 실제로 재직중인 직장에서도 빠른 업무습득에 대해 좋은 평가를 얻었고 신규 프로젝트의 디지털 회로설계에서 새로운 기술을 활용하여 주어진 개발목표를 이상 없이 달성하며 On-Spot 포상을 ... 전문분야 및 보유기술 지원자 본인의 전문분야 및 보유기술을 100자 이내로 요약하여 주시기 바랍니다. 보유기술은 전문분야에 해당하는 핵심 기술 위주로 작성바랍니다.
    자기소개서 | 1페이지 | 4,400원 | 등록일 2024.03.09
  • 워드파일 122. (전공_PT 주제) 반도체 디지털 회로설계 의 개념과 기술동향을 설명한 후, HDL 코딩에 관하여 설명하시오.
    H D L 코딩에 필요한 지식 (1) 불 대수(Boolean Algebra) 불 대수는 디지털 회로에서 논리 연산을 기술하는 데 사용됩니다. ... 다음은 HDL 코딩 직무의 중요성을 요약한 내용입니다: 반도체 회로 설계와 구현에 핵심적인 역할을 담당합니다. ... 논리 최적화, 클럭 관리, 전력 제어 회로 등을 고려하여 HDL 코드를 설계하고 최적화하는 방법을 연구합니다. (8) 타이밍 다이어그램(Timing Diagram) 디지털 회로의 동작
    자기소개서 | 8페이지 | 4,000원 | 등록일 2023.06.09
  • 한글파일 아날로그 및 디지털회로설계실습 4-bit Adder
    아날로그 및 디지털회로 설계실습 예비 REPORT 9. 4-bit Adder 회로 설계 분 반 교 수 명 실험 날짜 제출 날짜 조 학 번 이 름 요약 : 조합논리회로의 설계 방법을 ... 참고문헌 - 아날로그 및 디지털회로 설계실습 교재 ... 서론 조합논리회로의 설계 방법을 이해하고 조합논리회로의 한 예로 가산기 회로를 설계한다. 2. 실험결과 9-3.
    리포트 | 4페이지 | 1,000원 | 등록일 2021.12.15
  • 한글파일 디지털공학개론 ) 1. 기본 플립플롭들의 회로도,진리표,여기표 작성 2. Preset 입력과 Clear 입력이 있는 비동기식 J-K 플립플롭 회로도 작성 3. 멀티바이브레이터의 종류와 각 특성을 요약정리 할인자료
    멀티바이브레이터의 종류와 각 특성을 요약정리 디지털공학개론 1. 기본 플립플롭들의 회로도,진리표,여기표 작성 2. ... 클럭 입력이 있는 동기식 순서논리회로의 기본 소자이다. ... 디지털공학개론 1. 기본 플립플롭들의 회로도,진리표,여기표 작성 2. Preset 입력과 Clear 입력이 있는 비동기식 J-K 플립플롭 회로도 작성 3.
    리포트 | 7페이지 | 5,000원 (5%↓) 4750원 | 등록일 2023.01.27
  • 한글파일 광운대학교 전기공학실험 M2. 아날로그 및 디지털 기초 회로 응용 예비레포트 [참고용]
    참고문헌 - David lrwin, 회로이론1 12E, KCL, KVL. - Mano Kime Martin디지털논리와 컴퓨터설계 제 5판 Ful/Half Adder. - https: ... 아날로그 및 디지털 기초 회로 응용 2. ... 실험 개요 앞서서 진행했던 아날로그 및 디지털 기초 회로의 동작을 아두이노를 이용해 되풀이하고 패키지 소자들을 이용하여 하드웨어 수작업으로 구현했던 회로와 비교했을 때 어떤 부분에서
    리포트 | 8페이지 | 1,500원 | 등록일 2024.01.02
  • 한글파일 아날로그및디지털회로설계실습 래치와플립플롭
    아날로그 및 디지털회로 설계실습 예비 REPORT 9. 4-bit Adder 회로 설계 분 반 교 수 명 실험 날짜 제출 날짜 조 학 번 이 름 요약 : 순차식 논리회로의 기본 소자인 ... 참고문헌 - 아날로그 및 디지털회로 설계실습 교재 ... 서론 순차식 논리회로의 기본 소자인 래치와 플립플롭의 여러 종류에 대한 기능의 차이를 알아보고 동작 조건을 확인한다. 2. 실험결과 1-3.
    리포트 | 3페이지 | 1,000원 | 등록일 2021.12.15
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업