• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(86)
  • 자기소개서(52)
  • 리포트(33)
  • 이력서(1)

"vhdl 게임" 검색결과 1-20 / 86건

  • 파일확장자 VHDL과 FPGA 실습 키트를 이용한 묵찌빠 게임 [디지털논리회로 실험 Term Project]
    세계적인 추세에 힘입어 우리는 묵지빠 게임에 인공지능을 넣고 실험 키트를 이용하여 게임을 하 면 재밌을 것이라 생각하게 되었고, ‘Alpha 묵지빠 2017‘을 기획하게 되었다 ... 전체 블록도는 크게 세 부분으로 나누어 살펴보도록 하겠다.2) 가위바위보 결과 생성 블록묵찌빠 게임을 하기 위해선 기본적으로 가위바위보를 해야한다. ... 실험 키트를 통한 데이터 학습이 가능하지 않아 초기에 기획한 대로 진행되지는 않았지만 인공지능의 기초인 ‘규칙 기반 모 델’ 을 사용하여 묵찌빠 게임을 구현하였다.
    리포트 | 28페이지 | 4,000원 | 등록일 2020.04.24 | 수정일 2020.04.27
  • 파일확장자 VHDL을 이용한 리듬게임
    VHDL 관련 컴퓨터 실험 과목에서 A+ 받은 작품입니다. 아래 내용은 알집 안에 첨부된 설명서 입니다. ... 키트1만 가지고도 게임하는데 지장이 없습니다. 게임이 끝나면 점수는 리셋되고 motor가 정지합니다. 리셋 버튼을 누르면 게임이 초기화 됩니다. ... 게임이 시작되면 step motor가 회전합니다. motor 회전 후 약 5초 뒤 dot matrix에 리듬 게임 노트가 내려옵니다.
    리포트 | 4,000원 | 등록일 2016.04.12 | 수정일 2016.05.17
  • 파일확장자 VHDL 프로젝트 두더지게임기 구현
    두더지 게임 VHDL 코드 입니다. 사용한 프로그램은 Quartus2입니다. 알집파일엔 보고서와 프로그램 실행파일이 있고 프로그램이 깔려있다면 바로 실행가능합니다. ... 기본적인 기능에서 추가한 기능은 2P , 난이도조절, 음계추가 입니다. 2P를 추가하여 다른버튼으로 두명이서 게임이 가능하며 스위치로 난이도 조절이 가능합니다. ... 기능은 두더지 게임기로 LED에 랜덤하게 불빛이 들어오면 버튼을 누르고 버튼과 LED 값이 각각 일치하면 세그먼트에 1점씩 카운팅 됩니다. 10점이 되면 모든 LED에 불이
    리포트 | 9,000원 | 등록일 2016.11.03 | 수정일 2017.11.15
  • 파일확장자 VHDL을 TFT LCD와 함께 이용한 스도쿠게임 만들기
    FPGA Quartus8.1 버전을 이용한 DE2 보드 VHDL 파일입니다.
    리포트 | 4,000원 | 등록일 2016.04.13 | 수정일 2016.04.15
  • 파일확장자 [임베디드하드웨어]Xillinx및 VHDL기반의 숫자야구게임
    임베디드하드웨어 시간에 했던 Xillinx툴 및 VHDL기반의 코딩언어를 이용한 숫자야구게임 최종보고서 및 프로그램소스코드 및 발표자료 전부 포함입니다.
    리포트 | 3,000원 | 등록일 2016.10.29 | 수정일 2016.11.01
  • 파일확장자 A+학점인증 디지털시스템설계 과제3 보고서 묵찌빠 게임 State Machine Design(코드, 설명 포함)
    목표- 아래와 같은 조건의 묵찌빠게임을 State machine으로 설계하고 VHDL을 이용하여 구현할 수 있다.
    리포트 | 11페이지 | 4,000원 | 등록일 2021.04.07
  • 워드파일 [지방대/최종합격] 실리콘웍스 아날로그회로설계 자기소개서
    게임을 설계했습니다. ... 또한 논리회로설계 수업에서 FSM과 VHDL을 이용해 자판기를 설계했습니다. ... VHDL뿐만 아니라 Verilog를 배우고자 인터넷 강의를 통해 독학했으며, SoC 설계 및 프로그래밍 수업을 수강하며 C언어/Verilog로 Zynq 7000 기반의 두더지 잡기
    자기소개서 | 3페이지 | 4,500원 | 등록일 2023.05.05
  • 한글파일 NHN 신입사원 서류 합격 자기소개서
    . * 디지털 시스템 설계 A0 VHDL을 이용하여 모니터, 키보드를 연동시켜 게임을 만드는 프로젝트를 진행하였습니다. ... 따라서 모바일 게임은 스마트 기기의 발전과 함께 빠른 성장성이 높은 분야라고 생각합니다. ... NHN 엔터테인먼트는 이런 성장성에 따라 해외게임시장 개척이라는 과제에 대면하고 있습니다.
    자기소개서 | 2페이지 | 3,000원 | 등록일 2022.06.30 | 수정일 2022.07.03
  • 한글파일 kpx전력거래소 IT직 자기소개서
    다른 팀의 발표를 보니 정말 간단한 게임을 만들었지만 완벽하게 발표를 했습니다. 저희 팀은 평가가 아닌 배움의 욕심에 의해 끝까지 도전하였습니다. ... 다른 과목의 시험에는 신경 쓰지 못하고 밤새 VHDL설계만 했습니다. 결국 마감일까지 완성을 못했습니다. ... 처음 배우는 언어는 곧 잘 이해를 하고 재밌어 했지만, VHDL은 제가 생각한대로 되지 않았습니다. 하드웨어 언어는 c언어와 너무나 달랐습니다.
    자기소개서 | 2페이지 | 8,000원 | 등록일 2019.12.06
  • 한글파일 KB국민카드 IT직 자기소개서
    다른 팀의 발표를 보니 정말 간단한 게임을 만들었지만 완벽하게 발표를 했습니다. 저는 평가가 아닌 배움의 욕심에 의해 끝까지 도전하였습니다. ... 다른 과목의 시험에는 신경 쓰지 못하고 밤새 VHDL설계만 했습니다. 결국 마감일까지 완성을 못했습니다. ... 실패를 했던 대표적인 경험은 3학년 때, VHDL로 FPGA를 설계하는 수업인 임베디드 시스템을 수강하였습니다.
    자기소개서 | 3페이지 | 8,000원 | 등록일 2019.12.06
  • 워드파일 [지방대/최종합격] 삼성전자 메모리사업부 회로설계 자기소개서
    VHDL뿐만 아니라 Verilog를 배우고자 인터넷 강의로 독학했으며, 이를 기반으로 SoC 설계 및 프로그래밍 수업에서 C언어, Verilog로 Zynq 기반의 두더지 잡기 게임을 ... 또한, 논리회로설계 수업에서 FSM과 VHDL을 이용해 자판기를 설계했습니다.
    자기소개서 | 3페이지 | 4,500원 | 등록일 2023.05.05
  • 워드파일 [지방대.합격] 삼성전자인턴 메모리사업부 회로설계 최종합격 자기소개서
    또한, 논리회로설계 수업에서 FSM과 VHDL을 이용해 자판기를 설계했습니다. VHDL뿐만 아니라 Verilog를 배우고자 인터넷 강의로 독학했습니다. ... 이후 SoC 설계 및 프로그래밍 수업을 수강하며 C언어와 Verilog로 Zynq 기반의 두더지 잡기 게임을 설계했습니다.
    자기소개서 | 3페이지 | 5,000원 | 등록일 2023.05.05
  • 워드파일 [지방대/최종합격] SK하이닉스 회로개발 자기소개서
    또한, 논리회로설계 수업에서 FSM과 VHDL을 이용해 자판기를 설계했습니다. VHDL뿐만 아니라 Verilog를 배우고자 인터넷 강의로 독학했습니다. ... 이후 SoC 설계 및 프로그래밍 수업을 수강하며 C언어와 Verilog로 Zynq 기반의 두더지 잡기 게임을 설계했습니다.
    자기소개서 | 4페이지 | 4,500원 | 등록일 2023.05.05
  • 워드파일 SK하이닉스 연구개발(공정알엔디) 합격 자기소개서
    . > 디지털 시스템 설계수업에서 팀을 이뤄 VHDL을 이용해 포모도로 타이머를 제작하는 프로젝트를 진행했습니다. ... 이에 대한 대응으로 SK하이닉스는 최초 96단 기업용 SSD, 3D, 4D SSD를 개발하며 시장의 판도를 바꾸기 위한 game changer 양산에 적극적인 투자를 하고 있습니다. ... 우선 VHDL코드에서 의심가는 부분들을 다른 방식으로 바꿔보기로 했습니다. 일주일동안 매일 만나서 계속 진행했지만 문제는 해결되지 않았습니다.
    자기소개서 | 8페이지 | 3,000원 | 등록일 2023.02.06
  • 한글파일 KB국민은행 IT직 자기소개서
    다른 팀들은 개발키트를 이용한 간단한 게임을 완성하였고 완벽하게 발표하였지만, 저희 팀은 미완성인 채 발표하게 되어 만족스런 결과를 얻지 못했습니다. ... 지금까지 배워왔던 c언어와는 다르게 VHDL은 클럭(clock)을 기반으로 병행적이면서 순차적인 동작을 표현하여 설계를 잘못하면 복잡해질 수 있기 때문입니다. ... 가장 기억에 남는 것은 무엇이었는지 기술하십시오 (2000byte) [끝까지 도전] 팀 프로젝트를 통해 실패를 하면서 저를 되돌아보게 된 경험이 가장 기억에 남습니다. 3학년 때, VHDL
    자기소개서 | 3페이지 | 8,000원 | 등록일 2019.12.06
  • 워드파일 삼성전자 공정기술 합격 자기소개서 (3)
    게임을 좋아했던 그 학생과 사전을 찾아보면서 서툴게 이야기하며 중국의 SNS 문화에 대해서도 알 수 있었습니다. ... VHDL system을 경험해볼 수 있었으며 좀 더 중요하게 다가오는 사람과 소통을 할 수 있었습니다. 4인 가정에서 홈스테이를 했는데 중국인 학생도 있었습니다.
    자기소개서 | 5페이지 | 3,000원 | 등록일 2023.02.06
  • 한글파일 11_1학기_논리회로설계실험 프로젝트 결과보고서
    블루마블 이라는 보드게임의 명작을 VHDL로 만들면서 VHDL로 할 수 있는 것들이 얼마나 다양한지도 알 수 있었다. ... VHDL 코드 구현 및 해석 가. ... VHDL Project 브루마블 설계 9조 2011. 6. 14 차 례 1. 서론 가.
    리포트 | 52페이지 | 3,000원 | 등록일 2011.08.10
  • 한글파일 Xilinx VHDL을 활용한 슬롯머신 설계
    없기 때문에 한명이 할 수 있는 슬롯머신게임을 만듬. 3. ... 과제의 필요성 주어진 소프트웨어와 하드웨어로 가능한 창의적인 작품을 설계하는 능력과 VHDL코드 작성능력을 향상시키고 팀 프로젝트를 함으로써 역할 분담 및 자기가 할당 받은 일을 수행할 ... 슬롯머신게임을 두명이서 점수를 내어 승패를 가루기엔 입력버튼이 4개로 제한 되어 있기 때문에 리셋버튼을 제외한 3개의 슬롯입력버튼을 제외하고 다음 사람으로 넘어갈 수 있도록 하는 버튼이
    리포트 | 25페이지 | 3,000원 | 등록일 2013.06.01 | 수정일 2019.01.02
  • 한글파일 디지털 설계 및 실습 기말 텀 프로젝트
    프로젝트 목적 3학년 1학기 디지털설계 및 실습 과목의 기말고사 텀 프로젝트로써 한 학기동안 배운 VHDL을 응용하여 조에서 설계하고자 하는 프로젝트의 목적과 맞게 프로젝트를 만들어본다 ... 개념 “Tic-Tac-Toe”라는 게임은 우리나라에서는 삼목게임이라고 불리어진다. 이 게임은 9칸에 ○, ?로 채워서 대각선이든 한 줄이든 완성하는 쪽이 이기는 게임이다. ... 이 게임은 LED를 랜덤으로 생성하여 그에 상응하는 버튼을 입력하면 점수가 올라가고 틀리면 점수가 내려가는 게임을 구현하고자 하였다.
    리포트 | 9페이지 | 2,000원 | 등록일 2013.09.12
  • 파일확장자 [verilog]BCD 덧셈기와 Finite state machine을 사용하는 간단한 게임기 설계
    WIN LED를 ON하여 게임에 이긴 것을 표시한다. go 스위치를 누르면 다시 게임의 초기 상태로 돌아간다. ... LOSE LED를 ON하여 게임에 진 것을 표시한다. go 스위치를 누르면 다시 게임의 초기 상태로 돌아간다.(5) PR이 GUESS 값의 High 보다 크면 다시 go SW를 눌러 ... 카운터의 합이 GUESS 범위 안에 들어오면 게임은 WIN 상태로 가고, 그 외의 모든 경우는 LOSE 상태로 가서 게임은 종료된다.(6) Win이나 Lose 상태에서는 상태를 그대로
    리포트 | 17페이지 | 5,000원 | 등록일 2013.12.26 | 수정일 2023.03.10
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업