• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(4,501)
  • 리포트(3,973)
  • 논문(284)
  • 시험자료(174)
  • ppt테마(19)
  • 서식(17)
  • 자기소개서(17)
  • 방송통신대(12)
  • 이력서(4)
  • 노하우(1)

"excess3" 검색결과 1-20 / 4,501건

  • 파일확장자 excess3 to bcd
    리포트 | 1페이지 | 2,000원 | 등록일 2019.03.05 | 수정일 2019.03.07
  • 한글파일 BCD to Excess-3 코드 가/감산기 설계 보고서
    조합 응용회로 설계 BCD to Excess-3 코드 가/감산기 설계 보고서 1. 작품설명 2. 전체 블록 다이어그램 3. 각 블록의 기능 및 동작 설명 4. 전체 회로도 5. ... 코드는 BCD 코드에 3 (0011)을 더해준 수 이므로 7483 4비트 가산기를 이용하여 입력 받은 BCD 코드에 3 (0011)을 더해서 3초과 코드로 만들어 준다. ... 따라서 7483-c에선 A4 A3 A2 A1 과 B4 B3 B2 B1의 감산이 이루어진다.
    리포트 | 12페이지 | 1,500원 | 등록일 2015.12.10
  • 워드파일 BCD to Excess-3 Code Conveter
    : std_logic_vector(3 downto 0); BEGIN uut: bcd2excess3 PORT MAP( bcd => bcd, excess3 => excess3 ); tb ... BCD to Excess-3 Code Conveter Introduction VHDL code를 이해하고 BCD to Excess3 code converter logic을 VHDL ... IS COMPONENT bcd2excess3 PORT( bcd : IN std_logic_vector(3 downto 0); excess3 : OUT std_logic_vector
    리포트 | 15페이지 | 1,500원 | 등록일 2010.11.02
  • 워드파일 BCD to EXCESS-3 CODE CONVERTER
    excess3 excess3 excess3 ... 0011 when "0001" => excess3 excess3 ... (3 downto 0); BEGIN uut: BCDTOEXCESS3 PORT MAP( BCD => BCD, EXCESS3 => EXCESS3); tb : PROCESS BEGIN -
    리포트 | 10페이지 | 1,000원 | 등록일 2010.03.26
  • 워드파일 Excess-3 to BCD code converter
    Excess-3 to BCD code converter Excess-3 code를 입력 받아 BCD로 출력하는 회로이다. ... Excess-3 code BCD code에 3씩 더한 코드이다. 즉 3~12까지의 decimal값을 가진다. ... 4-bit의 Excess-3 code또는 BCD code를 입력 받아 7-Segment display에 출력한다.
    리포트 | 20페이지 | 2,000원 | 등록일 2010.11.28
  • 파일확장자 환원-확산법에 의한 Sm-Fe 합금분말 제조시 Sm2O3 첨가량의 영향 (The Effect of Excess Samarium Oxide on the Preparation of Sm-Fe Alloy Powder by Reduction-diffusion Method)
    However, there was no significant change above 40% excess samarium oxide. ... produce alloy powders with only SmFe single phase by reduction-diffusion (R-D) method, the effect of excess ... quantity of samarium oxide was varied from 5% to 50% whereas iron and calcium were taken 0% and 200% in excess
    논문 | 6페이지 | 4,000원 | 등록일 2016.04.01 | 수정일 2023.04.05
  • 워드파일 Excess 3 to BCD code converter ( Excess-3-to-BCD code converter )
    3씩을 더한 값으로 이뤄진 코드이다. 3~12까지의 10진수 값을 가진다. ▪ Excess 3 to BCD 는 Excess 3 에서 3을 빼는 것이다. ▪ Excess 3 는 4개의 ... 제목 : Design of a Excess-3-to-BCD code converter (combinational circuit) 개요 본 실습에서는 Excess-3 code를 BCD로 ... 입력에 스위치를 통하여 Excess-3 code 를 인가하고 출력에 LED 를 연결하여 BCD code 를 확인하고 더 나아가 Excess-3, BCD code를 7-segment
    리포트 | 16페이지 | 3,500원 | 등록일 2011.04.22
  • 한글파일 Design of Excess-3-to-BCD code converter
    값으로 이뤄진 코드이다. 3~12까지의 10진수 값을 가진다 - Excess 3 to BCD는 Excess 3에서 3을 빼는 것이다. - Excess 3 는 4개의 비트로 구성되는데 ... 는 4 bit의 binary로 0~9까지의 10진수를 표현한 코드이다. 4개의 bit가 10진수 1자리를 나타 낸다 - Excess-3 code 는 각각의 BCD코드에 3씩을 더한 ... 디지털 공학 Design Project 설계 보고서 Design of Excess-3-to-BCD code converter 설계 이론 1. specification - BCD code
    리포트 | 12페이지 | 1,000원 | 등록일 2012.06.04
  • 워드파일 BCD to EXCESS-3 변환코드(VHDL)
    변환하기위한 case조건문 when “0000”=> excess3 0011(excess3) when “0001”=> excess3 ... ) when “0011”=> excess3 0110(excess3) when “0100”=> excess3 ... behavioral of bcd2excess3 is – bcd2excess3는 entity의 name.
    리포트 | 18페이지 | 2,000원 | 등록일 2008.06.01
  • 한글파일 vhdl를 이용한 BCD-TO-EXCESS3 코드변환기(조합회로)
    -3 출력 0011 BCD 입력 0001, EXCESS-3 출력 0100 BCD 입력 0010, EXCESS-3 출력 0101 BCD 입력 0011, EXCESS-3 출력 0110 ... BCD 입력 0100, EXCESS-3 출력 0111 BCD 입력 0101, EXCESS-3 출력 1000 BCD 입력 0110, EXCESS-3 출력 1001 BCD 입력 0111 ... , EXCESS-3 출력 1010 BCD 입력 1000, EXCESS-3 출력 1011 BCD 입력 1001, EXCESS-3 출력 1100 ※ 보드 구현 설명 FPGA보드 구현시
    리포트 | 9페이지 | 2,000원 | 등록일 2010.06.11
  • 한글파일 vhdl를 이용한 bcd to excess-3 코드 변환기 설계(순차회로)
    [6] seg[5] Sse[4] seg[3] seg[2] seg[1] seg[0] Bcd to Excess-3 Code conveter excess3_code (Z) Seguences ... 45 begin 46 bcd_cnt ... 기본적으로 각 상태에 따라 bcd값과 excess3 값이 변화도록 순차적으로 작동하는 순차회로이며, excess3값을 7-segment로 받어 최종적으로 보드 구현시 표현 가능하도록
    리포트 | 11페이지 | 2,000원 | 등록일 2010.06.11
  • 한글파일 디지털 회로(Gray Code, Excess - 3 Code, 보수, BCD Code)
    계산 결과를 carry가 생기지 않으면 그 결과에서 3(10진수 3)을 뺀다. 3. ... (b) 3 초과 코드의 가산법 1. 2진 가산 규칙을 이용하여 3 초과 수를 더한다. 2. ... 뺀다. 1000 1100 59의 3 초과 코드 ∴ 1000 1100 ① 캐리가 없는 예 : 3 ------ 0110 ------(3의 3초과 코드) + 6 ------ + 1001
    리포트 | 17페이지 | 2,500원 | 등록일 2008.09.05
  • 워드파일 bcd to excess 3 code converter vhdl code
    begin case(bcd) is --⑦ when "0000" => excess3 excess3 excess3 excess3 excess3 excess3 excess3 excess3 ... excess3 excess3 ... 0)); -- ③ end bcd2excess3; -- ④ architecture Behavioral of bcd2excess3 is --⑤ begin process(bcd) --⑥
    리포트 | 6페이지 | 1,000원 | 등록일 2008.03.27
  • 워드파일 bcd to excess-3 코드의 spartan-3 보드 구현결과(VHDL)
    bcd 코드에 3을 더한 값이 excess3로 출력됨을 볼 수 있다. ... 파일내용 상세보기 전자전기컴퓨터설계실험ⅢBCD to Excess-3 code Converter목 차실험 목적 실험 도구 Post Lab (실험 결과 및 분석, 논평)실험 목적이번 실험은 ... Xilinx 프로그램을 활용하여 BCD코드를 Excess-3 코드로 변환시키는 변환기를 구현하는 것인데, Behavioral VHDL 모델과, Data Flow모델을 각각 사용해서
    리포트 | 19페이지 | 3,000원 | 등록일 2008.06.01
  • 워드파일 VHDL BCD to Excess-3 Code Converter(prelab) xilinx spartan3e board실험
    BCD to Excess-3 Code Converter (1) BCD to Excess-3 Code 변환기란? 10진값에 3(0011)을 더한 2진 코드를 말하는 것이다. ... (3 downto0) ); 6: end bcd2excess3; 7: architecture behavioral of bcd2excess3 is 8: begin 9: process ( ... entity bcd2excess3 is 4: port(bcd :in std_logic_vector(3 downto 0); 5: excess3 : out std_logic_vector
    리포트 | 28페이지 | 2,000원 | 등록일 2008.09.28
  • 워드파일 Post lab - BCD to Excess3 code converter !! (A+리포트 보장)
    RST : in std_logic; X : in std_logic; Y : out std_logic); end bcd2excess3; architecture Dataflow of bcd2excess3 ... BCD-to Excess-3 bit serial code converter 7. ... Lecture 4 BCD to Excess-3 Code Conveter ==================Contents=============== Pre Report
    리포트 | 14페이지 | 2,000원 | 등록일 2009.06.29
  • 워드파일 <VHDL>Pre lab - BCD to Excess3 code converter !! (A+리포트 보장)
    0011(excess3) when “0001”=> excess3 0100(excess3) when “0010”=> excess3 ... (bcd) => 1000(excess3) when “0110”=> excess3 1001(excess3) when “0111”=> excess3 ... “0101”; -- 0010(bcd) => 0101(excess3) when “0011”=> excess3 0110(excess3)
    리포트 | 28페이지 | 3,000원 | 등록일 2009.06.29
  • 워드파일 VHDL BCD to Excess-3 Code Converter(post lab) vhdl code 입니다.
    이것으로 BCD to Excess-3 Code Converter가 제대로 작동하고 있다는 것을 알 수 있다. 2) Excess-3 Serial Code Converter Behavioral ... " 3) Excess-3 Serial Code Converter Dataflow Source Results HYPERLINK \l "discussion" 3. ... 이것은 두 개의 simulation결과가 BCD to Excess-3 Code Converter임을 나타내 주고 있다.
    리포트 | 18페이지 | 2,000원 | 등록일 2008.09.28
  • 파일확장자 [디지털 시스템 설계] 코드변환기 (BCD-> gray, BCD-> excess-3 code)
    A가 선택되면 Excess-3 code로, B가 선택되면 Gray code로 변환된 값을 출력하도록 한다. 2) BCD, Excess-3 code, Gray code란? ... 위에서 각각의 코드에 대해 설명해 놓은 부분에서 알 수 있듯이, Excess-3 code는 BCD code로부터 3을 더한 코드이다. ... - Excess-3 code(3초과 코드) : BCD코드에 3을 더해서 만든 code이다. - Gray code : 인접한 수가 한 비트만 변하여 만들어진 코드이다.
    리포트 | 8페이지 | 1,000원 | 등록일 2004.12.18
  • 한글파일 [서울시립대] A+ 전자전기컴퓨터설계2(Bcd converter 코드포함) 5주차예비레포트
    참고문헌 전자전기컴퓨터설계실험 교안 lab05 https://space-inst.blogspot.com/2020/03/vlsi-bcd-to-excess-3-and-excess-3-to.html ... https://www.sanfoundry.com/plc-program-implement-bcd-excess-3-code-converter/ ... => VERILOG CODE =>SIMULATION (alway문 사이에서 300초 단위로 반복됨을 알 수 있다.) => UCF(PIN 설정) ● 아래 표를 참조하여 BCD to Excess
    리포트 | 14페이지 | 1,000원 | 등록일 2021.12.30
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업