• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(809)
  • 리포트(738)
  • 시험자료(31)
  • 논문(15)
  • ppt테마(11)
  • 서식(8)
  • 방송통신대(6)

"el-7l" 검색결과 1-20 / 809건

  • 파일확장자 << AVR을 이용하여 적외선센서(수,발광) 제어하기>>IR센서제어,라인트레이서,적외선 ADC,센서값 측정,ATmega128,소스코드,회로도,ST5811,EL-7L,,수광,발광
    - 원리 및 동작해석 적외선 센서로 흑백을 판독하는 방법은 크게 두가지가 있다. ... 적외선센서(수, 발광)의 측정값 ADC 실험 - 실험개요 본 실험은 발광부(IR LED)에서 방출한 적외선을 수광부(PhotoTR)로 받아서 AVR을 이용해 ADC 하는 ... 개발환경 · OS : Windows · Program tool : AVR Studio, Atmel studio · Compiler : AVR-GCC · Language : C language
    리포트 | 22페이지 | 4,000원 | 등록일 2016.03.12 | 수정일 2017.04.20
  • 파일확장자 흰쥐 교세포 및 교세포종 C6 세포주에서 아미노산 수송계 L의 발현 차이
    lioma cel ls 1'he rat glia l cells expressed the L-type arnino acid t ra nsporter 2(LA1'2). the second ... were inhibi ted by BCH in a co ncent ration-d e pendant man ner wi th the lC50 values of 270.0 :t 13.7 ... In ma 1ignan t tumors the L-type amino acid t ranspor te r 1(LA1'1), the first isoform 0 1' system L.
    논문 | 8페이지 | 4,000원 | 등록일 2023.04.05
  • 파일확장자 S.P.G 시스템커튼월
    접합 상세도-5 축척: 1/15 위치 7 축척: 1/15 위치 8 S.P.G. 접합 상세도-7 S.P.G. 접합 상세도-8 축척: 1/30 위치 9 S.P.G. ... 2nd FL +126. 20 EL 1st FL +121. 30 EL 2nd FL +126. ... %%C 250 600 (하부 휀코일 덕트연결) (하부 휀코일 덕트연결) 100 14100 150 150 30 EL 4th FL +135. 80 EL 3rd FL +130. 30 EL
    리포트 | 500원 | 등록일 2023.01.06 | 수정일 2023.01.09
  • 한글파일 고려대학교 기계공학실험2 A+학점 Convection 실험 만점 보고서
    DELTA T _{"in"} -P _{el}, NU -P _{"el"} graph Free Convection DELTA T _{"in"} -P _{el} 위의 DELTA T-P _ ... {q}}[W/m ^{2} ]N u 2 25.9 35.3 10.5 98.9 40 4 25.7 48.5 13.2 300 50 6 25.7 68.7 11.6 499.8 43 - Forced ... u 5 24.3 36.5 20.6 250.7 79 10 24.1 42.7 27 501.8 103 15.1 24.1 52.2 26.7 752.8 100 - Forced convection
    리포트 | 9페이지 | 2,000원 | 등록일 2022.04.18
  • 한글파일 2-3. AMOLED Full Device - Small molecule report (A+)
    CE-L Curve 그래프 6. QE-L Curve그래프 5. PE-L Curve 그래프 7. EL Intensity그래프 8. ... PE-L Curve그래프 16. Normalized EL Intensity그래프 15. EL Intensity 6. ... 그래프 7~8은 동일한 전압을 가했을 때 EL Intensity를 파장별로 나타낸 그래프이다.
    리포트 | 9페이지 | 10,000원 | 등록일 2023.07.30 | 수정일 2023.08.18
  • 한글파일 1-3 AMOLED Full Device - Small Molecule report (A+)
    CE-L Curve PE-L Curve QE-L Curve EL Intensity Normalized EL Intensity ◆ Small molecule vs. ... Polymer J-V Curve (linear scale) J-V Curve (log scale) L-V Curve CE-L Curve PE-L Curve QE-L Curve EL ... 이것을 하는 이유는 외부의 습기와 대기중의 오염물질과의 차단을 위해서이다. ◆ 실험결과 J-V Curve (linear scale) J-V Curve (log scale) L-V Curve
    리포트 | 9페이지 | 10,000원 | 등록일 2023.07.30
  • 한글파일 2-2. AMOLED Full Device - Polymer report (A+)
    PE-L Curve그래프 6. QE-L Curve그래프7. EL Intensity그래프 8. Normalized EL Intensity 6. ... 그래프 7을 보면 #1소자의 EL intensity가 유독 낮은 것을 볼 수 있다. ... 그래프 7~8은 동일한 전압을 가했을 때 EL Intensity를 파장별로 나타낸 그래프이다.
    리포트 | 10페이지 | 10,000원 | 등록일 2023.07.30 | 수정일 2023.08.18
  • 한글파일 기초 스페인어 정리
    : 유리 (el) lapiz ; 연필 ㆍ‘-d’, ‘-l’, ‘-z’로 끝나는 명사 → 대체로 여성 명사 (la) verdad : 진실 (la) piel : 가죽 (la) sal ... (l어 (el) regimen 제도 → (los) regimenes 제도들 (el) caracter 성격, 문자 → (los) caracteres 성격들, 문자들 5. ... 부정관사 7. 인칭대명사 1. 악센트 ㆍ악센트 → 일정한 규칙을 가지고 사용됨 ㆍn, s를 제외한 모든 자음으로 끝나는 단어 - 맨 마지막 음절의 모음에 악센트 존재 habl?
    리포트 | 7페이지 | 2,000원 | 등록일 2023.02.01
  • 한글파일 [경희대 A+] 물리학및실험 RLC 임피던스 실험 레포트
    RLC 회로 전류 (I) ER EL EC ET R=ER/I XL=EL/I XC=EC/I Z=ET/I Z=root{R^2 +(X_C -X_L )^2}위상각 phi 0.5 mA 39.4 ... RL 회로 전류 (I) ER EL ET R=ER/I XL=EL/I Z=ET/I Z=root{R^2 +(X_L )^2}위상각 phi 0.5 mA 38.8 69.6 108.4 77.6 ... 끝으로 전류 i에 대한 전원전압 v의 위상각 phi를 귀하여 보자. tanphi = {V_L -V_C}overV_R = {I(X_L - X_C )}overIR = {X_L - X_C
    리포트 | 5페이지 | 1,000원 | 등록일 2023.07.05
  • 한글파일 8RLC 임피던스 실험 결과보고서(20181119)
    RLC 회로 전류 (I) ER EL EC ET R=ER/I XL=EL/I XC=EC/I Z=ET/I Z=root{R^2 +(X_C -X_L )^2} 위상각phi 0.5 mA 64.7 ... 전류에 대한 Et, Er, El의 그래프를 그려본다 6)그래프의 기울기로부터 저항 R, 인덕턴스 Xl, 임피던스 Z값을 구한다 7)임피던스 Z= sqrt {R ^{2} +L ^{2} ... (저항 R) EL-I(인덕턴스 XL) Er-I(임피던스 Z) 실험 B.
    리포트 | 5페이지 | 1,500원 | 등록일 2021.04.27
  • 한글파일 스페인어 기초
    그러나 ‘-d’, ‘-l’, ‘-z’로 끝나는 명사는 예외적으로 여성이 많다. ... ㆍ(el) idioma : 언어 ㆍ(el) mapa : 지도 ㆍ(el) cl를, 모음으로 끝나는 명사는 어미에 ‘-s’를 붙여 복수형을 만든다. ... 부정관사 7. 인칭대명사 1. 악센트 스페인어에서 악센트는 일정한 규칙을 가지고 사용되나 그렇지 못한 것들도 존재한다.
    리포트 | 7페이지 | 2,000원 | 등록일 2023.02.01
  • 한글파일 비뇨기계 의학용어
    l feilj?r] 만성 신부전 7 Cl- chloride [kl??ːrai] 클로라이드. ... r] 7 Cl- chloride [kl??ːrai] 8 cysto cystoscopic examination [cystoscopic igzæ?m?nei?? ... l sel k?ːrs?noum?] 43 renal failure [riːn?l feilj?r] 44 renal hypertension [riːn?l ha?p?r|ten?
    리포트 | 7페이지 | 2,000원 | 등록일 2024.02.03
  • 한글파일 RLC 임피던스(결과보고서) 물리학 및 실험
    RLC 회로 전류 (I) ER EL EC ET R=ER/I XL=EL/I XC=EC/I Z=ET/I Z= sqrt {R ^{2} +(X _{C} -X _{L} ) ^{2}}위상각 phi ... 94.87 68.8 136.4 117 -0.73 2.0 mA 187.7 137 270.3 93.85 68.5 135.15 116 -0.73 B. ... RL 회로 전류 (I) ER EL ET R=ER/I XL=EL/I Z=ET/I Z= sqrt {R ^{2} +(X _{L} ) ^{2}}위상각 phi 0.5 mA 49.4 35.4
    리포트 | 4페이지 | 1,500원 | 등록일 2020.12.18
  • 워드파일 다국어회화(중국어, 스페인어)
    그는 - Él escribe. 7 [七] 数(复数) 7 [siete] Los Números HYPERLINK "http://www.rrting.com/spanish/" http ... Y- l--. Yo leo. 你读。 T- l---. 당신은 읽는다 Tú lees. 他读。 É- l--. 그는 - Él lee. 我写字。 Y- e------. ... l---- 월요일 el lunes 화요일 星期二 e- m----- 수요일 el martes 목요일 星期三 e- m-------- 금요일 el miércoles 토요일
    리포트 | 7페이지 | 1,000원 | 등록일 2019.12.03
  • 파워포인트파일 스페인어 정리
    부정관사 7. 인칭대명사 1. ... 명사의 성 ㆍ ‘ -d’, ‘-l’, ‘-z’ 로 끝나는 명사 → 대체로 여성 명사 (la) verdad : 진실 (la) piel : 가죽 (la) sal : 소금 (la) luz ... bien el inglés y el es - pañol 그 여자는 영어와 스페인어를 대단히 잘한다 . 2.
    리포트 | 21페이지 | 2,000원 | 등록일 2023.02.01
  • 워드파일 RLC임피던스실험
    95.7 167.6 194 95.7 167.6 194 193 -47.6 1.5 mA 141.7 248.3 287 94.46 165.53 187.3 190.6 -47.4 2.0 mA ... 143.7 -45.9 1.0 mA 98 70 172 170.2 98 70 172 170.2 141.4 -46.1 1.5 mA 143 101.5 251 249.1 95.3 67.7 ... - I , - I , - I 의 그래프를 그린다. (6) 위의 그래프의 기울기로부터 저항 R과 인덕턴스 , 임피던스 Z값을 구한다. (7) 또한 임피던스 이 되는가를 확인하고 위상각
    리포트 | 6페이지 | 2,000원 | 등록일 2021.01.04 | 수정일 2021.12.09
  • 한글파일 실험8 RLC 회로의 임피던스
    RLC 회로 전류 (I) ER(V) EL(V) EC(V) ET(V) R=ER/I(Ω) XL=EL/I XC=EC/I(H) Z=ET/I(Ω) Z=root{R^2 +(X_C -X_L )^ ... 또한 저항 R에 걸리는 전압 ER과 코일 L에 걸리는 전압 EL을 측정한다. ④전류를 0.5mA씩 증가시켜 2.00mA가 될 때까지 반복한다. ⑤앞의 데이터로부터 ET - I , ER ... RL 회로 전류 (I) ER(V) EL(V) ET(V) R=ER/I(Ω) XL=EL/I(H) Z=ET/I(Ω) Z=root{R^2 +(X_L )^2}(Ω) 위상각 phi 0.5 mA
    리포트 | 3페이지 | 1,000원 | 등록일 2020.10.25
  • 한글파일 내분비계 의학용어
    ːrmoun] 성장 호르몬 11 ICSH Interstitial cell-stimulating hormone [int?rsti??l sel-st?mjule?t?ŋ h?? ... ːrmoun] 황체 형성 호르몬 15 MSH Melanocyte-stimulating hormone [m?l?n?sait -st?mjule?t?ŋ h?? ... sis] 뇌하수체 7 testes [testiːz] 정소 8 thyroid glands [θair?id glænd] 갑상선 9 adrenaline [?dren?
    리포트 | 6페이지 | 2,000원 | 등록일 2024.02.02
  • 한글파일 언어의보편성과특수성에대한스페인어와한국어의대조언어
    하지만 wals통계에 따르면 SVO-전치사-명사+형용사-명사+속격의 어순이다. ?주어를 거의 생략하고 동사변화 활용으로 주어를 구분할 수 있다. ?아래 동사를 예를 들어보자. ... →SVO형태 (나는 사과를 먹는다) 2.El(3인칭 단수주어생략가능) es(3인칭단수 동사) de Corea. ... →나는 (7시에) 일어난다. ②한국어의 어순체계 ※[출처]언어의 보편성과 특수성 강의록교재 ?주어 동사 목적어의 어순이다(SOV) ?상대적으로 어순이 자유로운 언어이다.
    방송통신대 | 4페이지 | 5,000원 | 등록일 2023.09.11
  • 한글파일 [실험보고서] A+ 받음 물리학 및 실험 2 보고서 - RLC 회로 임피던스
    RLC 회로 전류 (I) ER EL EC ET R=ER/I XL=EL/I XC=EC/I Z=ET/I Z=root{R^2 +(X_C -X_L )^2}위상각 phi 0.5 mA 0.05 ... 0 1.274602 1.967362 2.417092 7. ... RL 회로 전류 (I) ER EL ET R=ER/I XL=EL/I Z=ET/I Z=root{R^2 +(X_L )^2}위상각 phi 0.5 mA 0.0511 0.0357 0.0736
    리포트 | 5페이지 | 1,000원 | 등록일 2021.08.18
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업