• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(262)
  • 리포트(249)
  • 시험자료(6)
  • 논문(5)
  • 자기소개서(1)
  • 이력서(1)

"binary encoder" 검색결과 181-200 / 262건

  • 한글파일 Matlab으로 구현한 M-PSK
    A에서는 sampling, quantization, channel encoding의 과정을 거쳐 Digital 신호로 변환된다. ... 그림 1-1에서 B지점과 C지점에서 곱해준 역할을 설명하고, 문제 1-2의 시뮬레이션 실험에서 가운데 점선 속의 과정이 생략된 이유를 설명하시오. ▶ B지점에서는 Binary sequence로 ... (a) encryption (b) quantization(c) sampling (d) channel encoding(e) FSK modulation(f) interpolation (
    리포트 | 12페이지 | 2,000원 | 등록일 2008.06.05
  • 파일확장자 crc코딩 소스
    {0,0,0,0,0,0}; //crc가 들어갈 6자리 마련 int clen; int cbuf1, cbuf2, buffer; int ASCIIdata[MAXIN] = {0}; //binary ... Encoding\n"); printf(" 2 . Decoding\n"); printf(" 3 . Load Text File\n"); printf(" 4 . ... /CRC generator 수행 void send(void); //파일로 전송 void Load(void); int main(void) { int num; printf(" CRC Encoding
    리포트 | 12,300원 | 등록일 2008.11.12 | 수정일 2021.06.11
  • 한글파일 Jpeg1
    블록내의 변환계수치는 sign binary digit(정:0, 부:1)와 절대치 정보와로 나누어 절대치는 자연 2진법으로 표현해, 상위 비트 플레인으로부터 순서에 encode 한다 ... 규정 함과 동시에 이 순서로 코드 스트림을 형성한다.이 순서부는 비유의로부터 의미가 있게 바뀔 때의 정보가 화질에 큰 영향을 가진다고 하는 인식에 근거하고 있다.덧붙여 sign binary ... 화상의 encode나 병렬 encode 처리도 가능하다. 3.2 변환계수의 2치화 변환과 encode 순서  JPEG2000에서는, DWT 변환계수를 비트 플레인 으로 분해해, 각
    리포트 | 3페이지 | 1,000원 | 등록일 2006.11.17
  • 워드파일 [디지털통신2]Matlab Design_Hadamard Matrix를 이용한 Orthogonal Code
    Encode 0101 using Hadamard matrix. ... F(H) = = - Hadamard Matrix를 이용하여 0101을 Encoding하면 위 행렬에 빨간색으로 표시해둔 것과 같다. ∴ 0101 ⇒ [ -1 1 -1 1 1 -1 1 ... total_symerr=0; N0=10^(-EcN0dB(snrindex)/10); gain=sqrt(N0/2); for l=1:L mx=randint(1,k); % random binary
    리포트 | 4페이지 | 2,000원 | 등록일 2009.01.03
  • 한글파일 pcm 레포트 및 보고서
    quatization int data_B[4][DN]={{0},{0},{0}}; // for binary int data_DQ[DN]; //decoding quatization int ... 70000]; //data double data_S[DN]; //sampling double data_DS[DN]; //decoding sampling int data_Q[DN]; //encoding ... ][b]); } else //printf("\n"); break; } //***********************************************************encoding
    리포트 | 10페이지 | 1,500원 | 등록일 2009.03.14
  • 한글파일 7.복호기와 부호기[결과]
    복호기와 부호기 (Decoder & Encoder)> -결과 보고서- 1. ... 또한 입력 A'B일 때는 B가 필요한 입력임을 알 수 있었다. (3) 10진 디코더를 갖춘 BCD 카운터 ①시뮬레이션 결과
    리포트 | 7페이지 | 1,000원 | 등록일 2011.07.05
  • 한글파일 예비보고서-Exp9.Inverse DCT Hardware Module Design
    이유는 이번실험에서 필요한 counter는 그리 큰 값까지는 필요 없을 것으로 예상되므로 3bit의 binary code이면 충분할 것이라 예상되었기 때문이다. ... experiment, we design hardware for IDCT(Inverse Discrete Cosine Transform) that constitutes H.264/AVC encoder
    리포트 | 11페이지 | 2,000원 | 등록일 2010.10.09
  • 파워포인트파일 [센서공학]엔코더(Encoder)에 대한 PPT자료
    엔코더(Encoder) 로터리 엔코더(Rotary Encoder) : 회전검출기 앱설루트형식(Absoluty Type) 인크리멘탈식(Incremental Type) 라인 엔코더(Linear ... Encoder) : 변위측정기(리니어 스케일이라고도 함) 광전식 리니어 엔코더 자기식 리니어 엔코더 전자식 리니어 엔코더 로터리 엔코더(Rotary Encoder) 로터리 엔코더는 ... 회전판의 패턴 코드를 읽기 위해 다수의 발광소자와 수광소자 사용  이에 따라 회전 각도에 따라 절대적인 코드값 출력 가능 앱설루트 로터리 엔코더 패턴 코드 2진코드(binary code
    리포트 | 16페이지 | 1,500원 | 등록일 2007.02.11
  • 파워포인트파일 CSP (Caché Server Page) 란 Introduction to CSP
    parameter CHARSET parameter CONTENTTYPE parameter CSPFILE parameter CSPURL parameter DOMAIN parameter ENCODED ... final classmethod Encrypt(data As %Binary) returns %String final classmethod EscapeHTML(in) returns ... String, ByRef value As %String) returns %Status final classmethod Decrypt(data As %String) returns %Binary
    리포트 | 12페이지 | 1,000원 | 등록일 2008.09.26
  • 한글파일 [논리회로실험] decoder와 encoder (예비)
    그림 9. 3-stage binary counter A B C 4 5 ▶ 입력은 A, B, C로 되며 입력 값이 5또는 6일 경우는 해당 출력의 LOGIC값이 1이 된다. 2) 그림 ... Normal Count Encoded output 00 1000 01 0100 10 0010 11 0001 ... 실험관련 이론 1) ENCODER(부호기) ① 외부에서 들어오는 임의의 신호를 부호화된 신호로 변환하여 컴퓨터 내부로 들여보내는 조합논리회로 ② 디코더와 반대 작용을 하는 조합논리
    리포트 | 13페이지 | 1,500원 | 등록일 2009.03.20
  • 한글파일 [공학기술]변조(Modulation)
    4B3T (4Binary 3Ternary) 2B1Q (2Binary 1 Quarternary) 5) 변조방식에 따른 변조기 및 변조방식 종합 전송선로(Signal) 전송data Analog ... 1 Sample 당 8bit (28= 256 크기 ) 로 Encoding (첫bit: +,-구분,7bit로 128개 표시) 。 ... 북미방식에서는 μ법칙, 유렵방식에서는 A법칙 이용. 5) 부호화 (Encoding) 。2진 code을 이용하여 양자화 된 수치를 표시하는 단계 。
    리포트 | 9페이지 | 2,000원 | 등록일 2007.07.07
  • 한글파일 컴퓨터구조 1장 노트정리
    컴퓨터 내부 연산 : Binary Number (2진법) ? ... Digital Circuit Combinational Circuit : 기본소자 = 게이트 (조합회로) Decoder, encoder, MUX Sequential Circuit :
    시험자료 | 7페이지 | 1,500원 | 등록일 2009.09.28
  • 워드파일 실험6예비[1].Shift.Register&Counter
    디지털 회로이기 때문에 ‘0’과 ‘1’로 2진 출력이 나타나지만 Encoder와 연결하여 출력을 변환해서 사용할 수도 있다. ... 회로 SEQ 회로 \* ARABIC 2. 4bit Binary Count-Up Counter 그림 SEQ 그림 \* ARABIC 13. 4단 2진 리플 카운터 출력 파형 - 2진 Counter의
    리포트 | 11페이지 | 1,000원 | 등록일 2011.06.27
  • 한글파일 [전파통신실험] FSK 변조/복조
    이진 FSK (BFSK, Binary FSK)는 2개의 주파수 , 를 사용하여 데이터 요소 0 은 로, 1 은 로 변조한다. ... FSK 비동기 복조기(검출기)에 사용된 주요 블록을 설명하고, 각 블록별 실험 결과(과정)을 정리하라. 1) CH1 프로브를 ENCODER의 NRZ 출력에 연결하고 2핀 커넥터를 이용하여 ... 특히, PLL (phase locked loop)의 동작원리를 이해할 수 있도록 한다. 1) CH1 프로브를 ENCODER의 NRZ 출력에 연결하고 2핀 커넥터를 이용하여 NRZ 신호를
    리포트 | 15페이지 | 1,500원 | 등록일 2010.01.02
  • 파일확장자 Genetic 알고리즘을 이용한 NeuralNetwork 학습 프로그램
    (double weight); // encode weights to binarydouble decode(unsigned int binary); // decode weights from ... );unsigned int invert(unsigned int binary);unsigned int mutation(unsigned int binary);double learned( ... CGenetic();void setPopulation(unsigned int p);void setOutput(int y1,int y2,int y3,int y4);unsigned int encode
    리포트 | 1,000원 | 등록일 2005.06.20
  • 한글파일 엔코더 예비보고서
    엔코더(Encoder) ⑴. ... 회전축의 0도가 되는 지점을 기준으로 360도를 일정비율로 분할하고, 그 분할된 각도마다 인식 가능한 전기적인 디지털코드를(BCD, Binary, Gray코드 등)를 지정하여, 회전축의
    리포트 | 6페이지 | 2,000원 | 등록일 2010.12.19
  • 한글파일 [MATLAB]MPSK BER Simulation & 문제풀이
    이 후, channel encoding을 통하여 전자기적인 신호로 부호화하여 전송을 하게 된다. D 지점에서 필요한 과정은 (f), (h)이다. ... 그 후, (f) interpolation 을 통하여 수신된 binary data를 Analog data로 복원한다. 2-2. 각 물음에 답하시오. ... BPSK, QPSK 시스템에 대하여 -5dB~10dB 범위의 (x축)에 대한 BER(y축)을 plot 하시오. → (e) 그림에서 점선으로 표시된 부분을 생략하고 입력단에 임의의 이진(binary
    리포트 | 5페이지 | 2,000원 | 등록일 2007.05.30
  • 파워포인트파일 Genetic engineering of plants
    Selectable markers Allow growth of cells containing foreign DNA Ex) Antibiotic resistance genes npt encodes ... Two Ti plasmid-derived cloning vector system Binary vector Cointegrate vector Ti Plasmid를 vector로 사용했을 ... Plant Transformation with the vector system 의 이점 쉽고 간편하다 형질전환 효율이 높다 이 점 Binary vector system 의 단점 stability가
    리포트 | 31페이지 | 2,500원 | 등록일 2007.12.27
  • 워드파일 RFID/USN의 개요 및 구성원리
    항목 ISO 18000-6B Class 1/Gen1 Gen2 Gen2 특징 Forward Link Encoding Manchester PWM Manchester,PIE Return ... Binary Slotted Aloha 통신 채널간 충돌 회피 방식이 크게 개선되어 다수의 리더가 동시에 작동하는 환경에서도 사용 가능 Tag Inventory Capacity(tags ... Link Encoding FM0 4-interval bit cell FM0 or modulated subcarrier Modulation ASK ASK ASK Modulation Index
    리포트 | 9페이지 | 1,000원 | 등록일 2007.04.17
  • 한글파일 컴퓨터의 이해 과목 주요자료정리
    독특한 서비스 사용 따로 구분 ※아날로그 전송 : 아날로그 데이터 또는 디지털 데이터를 아날로그 신호로 변조 전송 (증폭기 필요) ※디지털 전송 : 디지털정보를 전자기 신호로 부호화(encoding ... 비밀번호가 공개되어 있는 FTP, 익명 FTP의 사용자 이름은 anonymous or ftp, 비밀번호는 이메일 주소) ※ASCII파일 (텍스트를 주로 담고 있는 파일) ※이진(binary
    리포트 | 6페이지 | 1,000원 | 등록일 2010.04.07 | 수정일 2015.11.03
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 05월 09일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:44 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기