• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(345)
  • 리포트(336)
  • 시험자료(8)
  • 자기소개서(1)

"전감산기 설계" 검색결과 101-120 / 345건

  • 한글파일 인코더와 디코더 실험 레포트
    가산기와 감산기 회로 1. 실험목적 ① 인코더의 의미와 동작 이해 ② 디코더의 의미와 동작 이해 ③ 인코더와 디코더의 응용 능력 배양 2. ... 실험(3)에서는 디코더를 이용한 전가산기 회로 설계 설힘이었는데, 회로도를 보면 알 수 있듯, 기존의 AND, OR, NAND, NOT 등 게이트와는 다르게 16개의 핀이 있다. 1,2,3은 ... 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1 (3) 74138( 3` TIMES `8 디코더)을 이용하여 전가산기
    리포트 | 5페이지 | 1,000원 | 등록일 2019.05.01
  • 한글파일 디지털논리회로
    . - 전감산기 : 입력변수 3자리 뺄셈에서 차와 빌려오는 수를 구하는 것을 말한다. ... 아래자릿수에서 발생한 캐리까지 포함하여 3비트를 더하는 논리회로를 전가산기라고 하며 조합 논리회로이다. - 반감산기 : 2진수 1자리의 2개 비트를 빼서 그 차를 산출하는 회로를 말한다 ... 구성하는 순서로 작업을 진행한다. 3) 종류 - NOT, AND, OR, XOR, NOR, NAND, 반가산기, 전가산기, 디코더, 인코더, 멀티플렉서, 디멀티플렉서 등이 있다.
    리포트 | 4페이지 | 5,000원 | 등록일 2018.07.14
  • 한글파일 반가산기와 전가산기 - 결과
    감산기와 전감산기, 2bit 병렬 2진가산기를 구성하여 실험하고 진리표를 작성하여 확인해 보았다. - 실험 회로 및 실험 결과 - A B S C 0 0 0 1 1 0 1 1 (1) ... , S _{1}와 자리올림값 C를 갖는다. - 설계 및 고찰 - A B S C 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1 * NAND 게이트를 이용한 반가산기의 구성 ... 회로를 구성하여 진리표를 작성하라. => 두 개의 반감산기에 OR게이트를 더하여 만든 전감산기 회로로, X, Y의 입력에 추가로 앞단으로의 자리내림수를 B _{n-1}를 추가하여 같이
    리포트 | 4페이지 | 1,000원 | 등록일 2015.10.18
  • 워드파일 전자전기컴퓨터설계실험2(전전설2)4주차결과
    감산기 모델링 앞서 설계한 1bit 감산기는 2의 보수를 사용하여 가산을 방식을 취하는 감산기였다. ... 감산기 4bit 가산기와 마찬가지로 하위 모듈들로 구성된다. 가산기의 경우 반가산기들의 상위 모듈인 전가산기가 4bit 인스턴스에는 순서와 이름에 의한 매핑이 존재한다. 바. ... 가산기와 감산기의 경우 마이크로 프로세서에서 명령을 하는 등의 어떤 작업을 수행할 때 기초가 되는 연산기들이다. 또한 비교기도 이와 동일한
    리포트 | 19페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • 한글파일 연산회로 예비보고서
    감산은 결국 보수(complement)에 의한 가산과도 같으므로 실제 회로에서는 대개 감산기를 별도로 설계하지 않고 가산기를 이용하여 감산기로 병용한다. ... 또 반가산기와 전가산기의 관계를 그대로 응용하여 그림 5⒜의 반감산기로부터 전감산기를 구성하면 그림 6과 같게 된다. 2.6 병렬 감산기와 직렬 감산기 병렬 감산기(parallel ... )는 반가산기와 마찬가지로 두 개의 입력에 대한 감산기이며 전감산기(full substracter)는 전가산기와 마찬가지로 세 개의 입력에 대한 감산기이다.
    리포트 | 3페이지 | 1,000원 | 등록일 2015.12.20
  • 한글파일 4비트 병렬 가감산기, BCD 가산기
    반가산기, 전가산기의 동작을 이해하고 설계하는 방법을 알아본다. ? 이를 바탕으로 병렬 가?감산기를 설계하고 동작 특성을 이해한다. ? ... 병렬가감산기 전가산기들을 병렬로 연결하여 여러 비트의 가산기를 만들 수 있으며 이것을 병렬가산기라 한다. ... 본론 병렬가감산기(parallel-adder/subtracter) 회로도 simulation 결과 덧셈(S : 0) ① ② ③ ④ ⑤ 입력(10진수) 입력(
    리포트 | 7페이지 | 2,500원 | 등록일 2015.12.10
  • 한글파일 논리회로실험. 실험3. 가산기 & 감산
    실험1 전가산기 결과 분석 이번 실험에서의 2비트 전가산기는 2비트 수 두 개의 합을 계산하도록 설계한 회로이다. 즉 다음의 계산을 수행하는 회로를 구성하였다. ... *이번 실험에서는 2비트 전감산기의 실험을 실시했다. 2비트 전감산기는 반감산기+전감산기로 구성되는데 1비트의 차는 반감산기로 또한 그 빌림수는 2비트 자리수에서 빌려오며 전감산기에서는 ... - 2비트 전감산기는 반감산기 하나와 전감산기 하나로 구성된다. 이때 반감산기는 반가산기에 NOT게이트를 추가함으로써 회로를 구성할 수 있다.
    리포트 | 14페이지 | 2,000원 | 등록일 2015.12.21 | 수정일 2016.06.02
  • 한글파일 디지털실험및설계 예비7(연산 회로)
    그림3) 반감산기 A B D BR 0 0 0 0 0 1 1 1 1 0 1 0 1 1 0 0 그림3.1) 진리표 (4) 전감산기 전감산기는 전가산기의 반대 역할을 한다. ... 반감산기 2개를 연결하여 OR을 통해서 표현을 할 수 있다. 전가산기와 다른 점이 있다면 반감산기 두 개를 연결하면서 입력 값을 넣을 때 차이가 난다. 그림4)은 전감산기 이다. ... 검토 및 토의 ①(1) 전가산기 및 전감산기의 동작 특성을 진리표에 의해서 확인하라.
    리포트 | 9페이지 | 1,500원 | 등록일 2015.12.05
  • 워드파일 [컴퓨터공학기초설계및실험1 예비레포트] 보수를 이용한 감산과 병렬 가감산
    병렬 가감산기는 가산과 감산의 연산을 하나의 회로로 결합한 2진 가감산기라고도 하 며, 이것은 각 전가산기에 exclusive-OR 게이트를 포함시킨 형태로 구성되어 있다. ... 이를 이용한 2진 병렬 가감산기와 BCD 병렬 가산기의 회로를 설계하여 실험한다. 실험한 회로의 동작이 올바른지 확인하는 데 목적을 둔다. ... S=0이면 B+0=B이므로 출력 값이 그대로 전가산기의 입력으로 들어가서 B가 입력되고, 캐리로는 0이 입력된다.
    리포트 | 3페이지 | 1,000원 | 등록일 2015.03.16
  • 한글파일 BCD to Excess-3 코드 가/감산설계 보고서
    -c -d -a -b -a -b -c -c -d ② 3초과 코드 연산 출력된 2개의 3초과 코드를 감산 또는 가산하기위해 4비트 전가산기 7483을 이용한다. ... 조합 응용회로 설계 BCD to Excess-3 코드 가/감산설계 보고서 1. 작품설명 2. 전체 블록 다이어그램 3. 각 블록의 기능 및 동작 설명 4. 전체 회로도 5. ... 가/감산기의 덧셈 및 뺄셈에 관한 변환기의 진리표는 다음페이지에 있다.
    리포트 | 12페이지 | 1,500원 | 등록일 2015.12.10
  • 한글파일 실험 예비보고서 3주차 논리 및 연산회로
    전감산기 이론 반감산전감산기 한 비트에서 한 비트의 값을 빼어 차와 내림수를 만들어 내는 회로 boolean 함수 : D=A? ... B in 반감산기 Half Subtractor A B D B out A B D B out 0 0 1 1 0 1 0 1 0 1 1 0 0 1 0 0 전감산기 Full Subtractor ... ◇ 반가산기 및 전가산기 이론 반가산기 전가산기 컴퓨터 내에서 2진 비트를 덧셈하기 위해서 사용되는 논리 회로의 하나로 반 덧셈기라고도 한다. 2개의 디지털 입력(비트)을 받고, 2개의
    리포트 | 4페이지 | 1,500원 | 등록일 2008.11.08
  • 워드파일 Combinational Logic Design ⅠArithmetic Logic and Comparator
    Inlab 4.응용과제 XOR 게이트를 이용한 감산기를 설계하시오. ... 입력 X : 버튼 스위치 1 입력 Y : 버튼 스위치 2 입력 B0 : 버튼 스위치 3 출력 D : LED1 출력 B1 : LED2 4-bit 감산기를 설계하시오. ... Reference (참고문헌) Introduction (실험에 대한 소개) Purpose of this Lab : 연산회로에 대해서 알아보고 반가산기, 전가산기 및 4-bit 가산기를
    리포트 | 17페이지 | 1,000원 | 등록일 2016.04.06
  • 한글파일 [예비보고서(자료조사)] Half Adder, Full Adder, Half Subtracter, Full Subtracter(가산기, 감산기)
    이를 통해서 가산기를 통해서 감산기를 쉽게 논리 회로를 얻을 수 있는 사실을 알 수 있다. 2.Full Substracter(전 감산기) : 전 감산기는 전 가산기와 마찬가지로 입력이 ... 감산기는 가산기에서 조금 변형하면 되는데 가산기와 마찬가지로 반 감산기와 전 감산기가 존재한다. 1. ... 이 전 감산기 또한 전 가산기와 유사하다는 사실을 알 수 있다.
    리포트 | 6페이지 | 1,000원 | 등록일 2015.06.05
  • 한글파일 디지털실험 - 실험 3. 2비트 전가산기 예비
    회로를 구성하고 진리표를 작성하라. 4) 다음은 전감산기 회로이다. ... 설계하라. ... *예비보고서* 실험주제 실험 3. 2비트 전가산기 조 13조 1.
    리포트 | 9페이지 | 1,500원 | 등록일 2017.04.02
  • 한글파일 디지털실험 설계2 결과 4비트 가(감)산기
    설계는 실패했다. 고찰 실험 3을 기억해 보자. 우리는 전감산기와 전가산기의 회로를 구성해 보았다. ... 전가산기 회로 전감산기 회로 두 회로의 차이점은 AND 게이트에 입력이 반전되어 들어가느냐 아니냐의 차이 뿐이다. ... 디지털 실험 결과보고서 설계 2. 4비트 가(감)산기 다음의 회로도대로 회로를 결선하고 e입력에 따라 가산 감산이 되는지 실험한다.
    리포트 | 3페이지 | 1,000원 | 등록일 2014.09.30
  • 한글파일 계산기(Calculator) 설계 (쿼터스 설계)
    ALU FA에 B입력을 XOR에 달아 감산기 역할도 할 수 있게 만든다. 4비트 가감산기로서 전가산기를 4개 이용하여 연산을 하게 된다. ... ALU 부분은 FA를 이용하여 감산Logic을 더해 가감산기를 만든다. ... 전체 계산기 설계도 시뮬레이션 결과 (Quartus Timing Simulation) 위 시뮬레이션은 IR = 0 일 때 , 즉 가산기 역할을 할 때이다.
    리포트 | 7페이지 | 2,000원 | 등록일 2015.01.27
  • 워드파일 [verilog HDL] 감산기와 비교기의 설계
    Prelab2에서는 Prelab1에서 만든 1bit 전감산기를 이용하여 4bits 전감산기설계하고 FPGA에서 작동시켜 보았다. ... 설계시 module instance를 사용하여 4개의 1bit 전감산기를 이었으며, 제대로 작동하는 것을 확인하였다. ... XOR 게이트를 이용하여 감산기를 설계하시오.
    리포트 | 27페이지 | 3,000원 | 등록일 2014.11.02
  • 한글파일 전자계산기구조 핵심요점정리(직접작성한내용)
    전감산기 (전가산기+NOT게이트로 구현가능) B=X'Z+YZ+X'Y D=X (+)Y(+)Z ? ... 반감산기 => 반가산기1개+NOT게이트 B=X'Y (반가산기 C값의 X에 NOT만 취하면 같음) D=X xor Y ? ... 조합논리회로 : 임의의 시점에서의 출력값이 그 시점의 입력값에 의해서만 결정 (기억기능 X) -> 예시 : NOT,AND,OR,XOR,NOR,NAND,반가산기,전가산기,인코더,디코더
    리포트 | 7페이지 | 1,000원 | 등록일 2016.11.30
  • 워드파일 전전컴실험III 제04주 Lab03 OPAMP Post
    이번 실험은 Operational Amplifier의 특성을 이해하고, 이를 활용한 가산기와 감산기를 설계하는 것을 목표로 한다. (1) Result of this Lab. [1-0 ... Op-amp는 전원 전압보다 큰 값을 출력으로 가질 수 없으므로, 실험을 진행하기 전에 출력 값을 예상하고 그에 맞는 전원 전압 크기를 인가하여야 한다. ... 응용 회로 가중 가산기와 차동 증폭기를 설계하였다.
    리포트 | 5페이지 | 2,500원 | 등록일 2017.02.05 | 수정일 2017.03.26
  • 한글파일 4비트 전감가산기 설계결과보고서
    따라서 실제 회로에서는 주로 감산기를 별도로 설계하지 않고 가산기를 감산기로 사용한다. 4비트 전감가산기 설계 - 설계방법 : 2의 보수나 1의 보수를 사용하여 감산연산을 없애고 적당한 ... 회로 한 개에 전가산기 감가산기 모두 구현해서 CIN값을 1로 변화시킴으로서 감산기 역할을 하고 0으로 넣어주었을때는 가산기 역할을 하게 했다. ... 설계2 결과보고서 2009069160 김기훈 1. 간단한 이론 분석 1) 4비트 전가산기 설계 - 이진수의 한자리수을 연산하고, 하위의 자리올림수 입력을 포함하여 출력한다.
    리포트 | 4페이지 | 1,000원 | 등록일 2014.06.03
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 05월 17일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:50 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기