• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(3,617)
  • 리포트(3,307)
  • 자기소개서(165)
  • 시험자료(99)
  • 방송통신대(36)
  • 논문(3)
  • 서식(3)
  • 이력서(2)
  • 표지/속지(1)
  • 노하우(1)

"완벽한시계" 검색결과 1-20 / 3,617건

  • 한글파일 [무기화학] 시계반응 예비 레포트(깔끔+완벽)
    실험목적 시계 반응을 이용하여 가 화학 반응의 에 어떠한 영향을 미치는 지 알아보고, 온도에 따라 달라지는 로부터 이 반응의 를 구한다. 2. ... 우리가 반응 속도를 측정하려는 방법은 소위 시계 반응이라 부르는 반응인데, 이것은 한 반응의 종말점을 자동으로 알 수 있는 반응이다. ... 이온이 모두 반응하여 없어지는데 필요한 시간을 알려주므로 시계와 같은 구실을 한다. (1) 의 메커니즘 (2) 의 메커니즘 --------------------------------
    리포트 | 3페이지 | 1,500원 | 등록일 2020.06.18
  • 파일확장자 [VHDL] VHDL 시계 완벽 소스
    library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity segment is port ( seg_out : out std_logic_vector( 0 downto..
    리포트 | 15페이지 | 1,500원 | 등록일 2003.05.13
  • 한글파일 [vhdl] 240 보드에서 작동하는 vhdl 시계 완벽소스
    1. 구성 1) Modes -시간 표시 -스톱워치 -알람 표시 & 설정 -시간 설정 2) 외부 입,출력 입력 => CLOCK : 10KHz 클럭 Mode Button : 표시 및 설정 모드 선택 버튼 Function Button (SW_F1, SW_F2) : 설정 모드..
    리포트 | 36페이지 | 1,000원 | 등록일 2003.12.03
  • 한글파일 vhdl을 이용한 디지털시계 소스(lcd,led,도트매트릭스,스탑워치,수정기능)포함 완벽
    library ieee; use ieee.std_logic_1164.all; entity watch_seg is port (clk : in std_logic; sw_mode : in std_logic; sw_f1 : in std_logic; sw_f2 : in std..
    리포트 | 50페이지 | 3,500원 | 등록일 2007.12.08
  • 한글파일 초등학생, 중학생 독서감상문 독후감 모음
    개를 훔치는 완벽한 방법 조지나의 가족은 무척 가난 그 내용은 인상 깊었는데 지금 다시 읽어보니 느낌이 이상했다. ... 나는 말랄라 (박찬원) 나는 과학자의 길을 갈 테야 (송성수) 꼬마 사업가 그레그 (앤드루 클레먼츠) 과학자와 놀자 (김성화, 권수진) 검은 여우 (베치 바이어스) 거꾸로 가는 시계 ... 한스 페터 리히터) 거꾸로 읽는 세계사 (유시민) 역마 (김동리) 구멍에 빠진 아이 (조르디 시에라 이 화브라) 감자 먹는 사람들 (신경숙) 건방진 도도군 (강정연) 개를 훔치는 완벽
    리포트 | 20페이지 | 3,000원 | 등록일 2022.08.03
  • 한글파일 유사실험설계의 목적과 유형을 설명하고 그에 따른 장단점을 기술하시오. 1.사회조사방법에서 유사실험설계가 갖는 의미 2. 유사실험설계의 유형 제시(유형 2가지 이상) 3. 유사실험설계의 각 유형별 장점과 단점 제시
    외부 변수의 영향을 통제하기 어렵습니다: 외부 요인들이 시계열 데이터에 영향을 미칠 수 있고, 이를 완벽하게 통제하기 어려울 수 있습니다. ... 단점 외부 변수의 영향을 통제하기 어렵습니다: 실험 조건 외의 다른 요인들이 결과에 영향을 미칠 수 있으며, 이러한 외부 변수를 완벽하게 통제하기 어렵습니다. ... 중복된 시계열 설계의 장점: 장점 시간에 따른 변화를 추적하여 효과를 정확하게 측정할 수 있습니다: 중복된 시계열 설계는 시간의 흐름에 따른 변화를 연구하며, 사건이나 개입의 영향을
    리포트 | 3페이지 | 2,000원 | 등록일 2024.01.01
  • 한글파일 눈먼 시계
    또한, 있을 법하지 않은 존재인 생명의 복잡성과 완벽함을 실제 자연에 존재하고 있는 생물들을 예로 들어 보여준다. 5장 ‘유전자의 힘’에서는 주제 중 핵심을 담은 본론 부분으로서 " ... 실상 도킨스의 눈먼 시계공과 창조론의 눈 뜬 시계공은 시계공으로 표상되는 생태계의 성립 법칙을 의미한다는 공통점을 제하면 전혀 다른 존재이다. ... 세계가 미리 설계된 수순을 따라왔다는 결정론과 자연선택에 기반한 진화론의 차이는, 우리가 문학적·피상적으로 생각하는 눈 뜬 시계공과 눈먼 시계공의 그것보다도 더 크다는 사실은 의심의
    리포트 | 1페이지 | 1,000원 | 등록일 2022.12.05
  • 한글파일 서울시립대학교 물리학및실험1 회전 관성 실험
    시계를 이용해 추가 떨어지는 시간을 측정한다. 8. 관성 모멘트를 구한다. ... 회전축을 잡고 있던 손을 놓음과 동시에 초시계의 시작버튼을 눌러 추가 떨어지는 데 걸리는 시간을 측정한다. 5. ... 오차를 줄이기 위해서, 우선 조금 더 완벽한 수평이 되기 위해서는 더 정밀한 측정기구를 사용하여 수평을 측정해야 한다. 또한 낙하하는 물체에 작용하는 힘을 ma = mg ?
    리포트 | 6페이지 | 1,000원 | 등록일 2021.08.31
  • 워드파일 [일반화학][레포트A+] 크로마토그래피 결과 레포트
    두번째로 패킹이 완벽하지 않아 실리카겔 사이로 혼합시료가 빠 져나가 정확한 색깔변화에 영향을 줄 수 있♘다. 그러므로증발을 최대한 막 기위해 빠르게 시계접시를 덮어주어야한다. ... 즉 시계접시를 덮게 됨으로 공기와 차단이 돼서 외부의 조건들과 차단되어서 다른 오차가 생길 여지를 줄여주게 된다는 뜻이다. ... 용매인 전개제가 TLC판의 위로 올라가며 시료도 용매를 매체로 해서 올라가 게 되는데, 만약 전개제가 시계접시를 덮지 않아 실험도중 증발하여 버린다 면 시료도 충분히 올라가지 못해서
    리포트 | 2페이지 | 1,500원 | 등록일 2022.08.17
  • 한글파일 [정신건강과간호] 2024년 중간과제물, 1. 에릭슨 심리사회적 발달이론 1) 각 발달단계의 과제와 갈등 2) 자신의 발달단계를 제시, 수행과제와 일반적인 특징 2. 성격장애가 묘사된 영화를 선정, 성격장애 유형 제시, 영화에서 구체적인 내용이나 특성 설명
    이런 패턴을 깬 계기는 유소정이 시계를 압수한 것이었는데, 영화에서 그녀는 치료자의 입장을 보이고 있다. 정리정돈에 집착하는 경향을 보였다. ... 그것을 성취하기 위해서 시계, 일기장 등을 사용하기도 했다. 아침에 일어나서 식사하고 출퇴근하는 모든 일상이 계획에 의해서 정해지고, 이를 어기는 것은 용납이 되지 않았다. ... 주인공의 강박장애 증세를 이 영화에서는 우스꽝스럽게 표현한 것이다. (3) 매사에 완벽해 보이는 행동은 여자 친구를 사귀는데 오히려 방해가 된다.
    방송통신대 | 12페이지 | 5,000원 | 등록일 2024.04.02
  • 한글파일 마케팅원론 ) 디지털제품과 일반 아날로그 제품을 선택해서 신제품개발과정의 공통점과 차이점을 분석하여 과제를 작성하시오. 할인자료
    두 가지 시계 모두 시계로서의 시간을 알려주는 기능은 완벽하게 해낸다. 그리고 손목시계를 액세서리로 하는 경우라면 그 기능도 거의 비슷하게 한다. ... 반면 디지털 손목시계가 나오기 전 바늘이 돌아가는 형식의 손목시계도 꾸준한 인기를 보인다. 특히 롤렉스나 까르띠에 등의 명품 시계의 경우 그 수요가 줄어들지 않고 있다. ... 클래식 손목시계의 경우 구매한 디자인이 고정적이지만, 스마트워치의 경우 시계 줄을 바꾸는 것이 매우 수월하여 원하는 느낌에 따라 시계 줄을 구매해서 교체할 수 있다.
    리포트 | 5페이지 | 5,000원 (5%↓) 4750원 | 등록일 2023.12.28
  • 파워포인트파일 [A+발표] 과학자로서의 세종대왕, 업적과 의의
    강우량 측정기 해시계 , 앙부일구 -1434 년 제작 - 오목한 수영면 - 태양의 운행을 완벽하게 재현 - 한양을 기준으로 한 국가 표준시계 -12 지신의 동물그림으로 시각 표현 ... 주요 발명품 연대 발명품 이름 분류 세종 16 혼천의 ( 渾天儀 ) 천체관측기계 세종 16 앙부일구 ( 仰釜日晷 ) 해시계 세종 16 자격루 ( 自擊漏 ) 물시계 세종 23 측우기 ... 출처 : 한국민족문화대백과사전 물시계 , 자격 루 -1434 년 제작 - 장영실 참여 - 시간에 따라 , 종 , 북 , 징을 쳐서 알림 - 현존하는 세종때 자격루는 없다 .
    리포트 | 10페이지 | 3,000원 | 등록일 2020.05.01 | 수정일 2020.05.27
  • 한글파일 한밤중 톰의 정원에서 비평문,감상문 A+
    그녀의 작품에는 섬세한 묘사와 완벽하게 짜인 구조가 갖춰진 명작이라는 평을 받고 있다. ... 톰은 괘종시계가 열세 번이 울린 것을 듣고 달빛을 이용하여 시계를 살펴보기 위해 뒷문을 연다. 낮에는 쓰레기장이던 공간이 문을 열자 아름답고 꿈에 그리던 정원이 되어있었다. ... 그녀의 작품 『아주 작은 개 치키티토』와 『한밤중 톰의 정원에서』는 섬세한 묘사와 완벽하게 짜여진 구성이라는 공통점이 있다.
    리포트 | 2페이지 | 1,000원 | 등록일 2021.07.06 | 수정일 2021.09.05
  • 한글파일 비동시성에 따른 시차의 원인과 결과
    그리고 영재아일수록 학업에 대한 스트레스가 강하고, 완벽주의적인 성향이 강해서 스스로 힘들 수 있으니 부모나 선생님들은 학업이나 성취 결과에 대한 기쁨과 칭찬보다는 학습과정과 활동 ... ‘두 개의 시계’ 명명 나는 생물학적인 ‘신체시계’와 두뇌발달에 따른 ‘두뇌시계’로 구분하여 명명하겠다. ... 나누어진 두뇌시계라고 말할 수 있다.
    리포트 | 3페이지 | 1,000원 | 등록일 2021.06.17
  • 한글파일 [정신간호학 A+] 영화 "플랜맨" 소감문
    아침 6시에 기상하고, 6시 35분에 샤워하고, 8시 42분에 횡단보도 건너는 등 언제나 손목시계를 차고 다니며 항상 시계 알람을 설정하여 생활하며 계획에 맞춰 모든 일을 해나가야 ... 강박장애 뿐만 아니라 주변에도 종종 있는 강박증과 비슷하게 작용할 만한 완벽주의자 또한 모든 일을 실수 없이 완벽하게 처리해야 한다는 사고나 행동양상 등은 자신과 주변인들에게 과도한 ... 그리고 주인공은 어린 시절 천재 소년이라 불리며 늘 완벽을 추구하는 어머니 밑에서 자라왔다.
    리포트 | 2페이지 | 2,000원 | 등록일 2021.05.24
  • 워드파일 디자인씽킹과비즈라이팅) 헨리 포드 평전화 과제
    “좋은 아이디어를 갖고 일단 시작을 하면, 새로운 아이디어를 찾아다니기보다는 기존의 아이디어를 완벽하게 다듬는 데 집중하는 편이 훨씬 낫다.” ... 두 번째 사건으로는 시계를 얻어, 시계에도 흥미를 가진다. 이후 13살에는 시계를 혼자 조립하는 데 성공했으며, 15살에는 시계에 대해 모르는 것이 없는 수준이었다. ... 견습생 기한 3년이 끝나기도 전에 기계공 자격 얻었고, 밤에는 보석상 수리를 하며 300개가 넘는 시계를 고쳤다.
    리포트 | 2페이지 | 2,000원 | 등록일 2023.02.17
  • 워드파일 물리학실험 전류와 자기장, 지구자기장 결과보고서
    비록 자로 거리를 재고 고무줄롤 측정계를 고정시켜 놓아도 고무줄이 완벽하게 측정계를 반듯하게 고정시키지 못하고, 거리도 사람이 직접 자로 재는 것이기에 완벽하지 않다. ... (b) 최소전류: =0.021A,회전각: 75 전 류: ,N극의 방향(동, 서, 남, 북): 동 전류의 방향(시계, 또는 반시계 방향): 반시계방향 실험 2a 실험 2b 실험 3 ... 데이터 분석 [1] 측정값 및 계산 실험 1 최소전류: =0.021A,회전각: 80 전 류: ,N극의 방향(동, 서, 남, 북): 서 전류의 방향(시계, 또는 반시계 방향): 시계방향
    리포트 | 6페이지 | 1,000원 | 등록일 2020.03.26 | 수정일 2020.04.04
  • 워드파일 관성모멘트 측정 실험보고서
    우선 추와 추걸이를 낙하시킬 때, 수평막대가 완전히 정지하지 않은 상태에서 추와 추걸이가 완벽한 정지 상태가 아닐 수 있기 때문에, 또는 바닥면과 완벽하게 수직을 이루지 않았을 때 ... 기준점에서 바닥까지의 거리 h를 측정하고 초시계로 바닥까지의 낙하 시간을 3회 측정한다. ... 회전축으로부터 거리 0.20m 떨어진 위치에서 초시계로 바닥까지의 낙하시간을 3회 측정한다. 사각질량을 제거하고 수평막대만으로 반복해 를 측정한다.
    리포트 | 6페이지 | 1,000원 | 등록일 2021.03.27 | 수정일 2021.10.03
  • 한글파일 유사실험설계의 목적과 유형을 설명하고 그에 따른 장단점을 기술하시오.
    유사 실험 설계의 목적 유사 실험 설계는 모집단에 대한 완벽한 표집틀 확보가 어렵거나, 무작위 표본 선정이 어렵거나 조사대상자의 접근이 어려울 경우에 이를 충족시키기 위한 실험설계 ... 설계 - 다중시계열설계는 단순시계열 설계보다 더 강력한 시계열 분석 형태이다. - 비동일통제집단 설계에서 시계열 분석(통제집단)을 추가한 것이다. - 단순시계열의 우연한 사건 등에 ... 유사 실험 설계의 유형 1 1) 비동일(2집단) 통제집단 설계 1 2) 시계열 설계(단순시계열, 다중시계열) 2 4.
    리포트 | 5페이지 | 2,500원 | 등록일 2022.04.04
  • 한글파일 플랜맨 영화감상문/소감문 (정신건강간호학)
    한정석은 유소정을 찾아가 알람시계가 없는 삶이 불편하다며 돌려달라 합니다. ... 여기 ‘삐비빅, 삐비비빅’ 손목시계에 울리는 알람을 듣고 일어나 하루가 시작되는 플랜맨이 있습니다. ... 유소정은 한정석의 손목에 있는 알람시계부터 풀어 가져갑니쉽게 잠에 들지 못합니다.
    리포트 | 5페이지 | 3,500원 | 등록일 2021.11.26
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업