• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(57,202)
  • 리포트(49,362)
  • 자기소개서(3,780)
  • 방송통신대(2,115)
  • 시험자료(1,224)
  • 논문(648)
  • 서식(51)
  • ppt테마(12)
  • 이력서(9)
  • 노하우(1)

"디지털 결과" 검색결과 1-20 / 57,202건

  • 파일확장자 디지털 시계 결과보고서
    실험 제목디지털 시계2. 목적 및 목표브레드보드에서 4020, 7490, 7447소자의 동작을 이해하고 주파수가 세븐세그먼트의 숫자에 어떤 영향을 끼치는지 알아보자!3.
    리포트 | 5페이지 | 1,000원 | 등록일 2019.09.23 | 수정일 2019.09.24
  • 워드파일 Digital CMOS Circuit 결과보고서
    결 과 보 고 서 학 과 학 년 학 번 조 성 명 실험 제목 Digital CMOS Circuit Lab 1.
    리포트 | 8페이지 | 1,000원 | 등록일 2021.05.19
  • 워드파일 [결과레포트] 아날로그 디지털 변환기
    결과사진에서 볼 수 있듯이, 입력인 시그널은 sin 파형을 띄고 있지만 Digital로 바뀜으로써 0과 1신호 이 두가지만 가지고있는 시그널임을 볼 수 있었다. ... 1.실험제목: 아날로그- 디지털 변환기 2. ... 디지털 변환에서 입력신호는 1과 0으로 나뉜다.
    리포트 | 3페이지 | 1,000원 | 등록일 2020.01.09
  • 한글파일 [결과레포트] 아날로그 디지털 변환기
    아날로그 디지털 변환기 2. ... 실험결과 V _{REF1} =9V`/7.8V/6.7V/5.6V/4.48V/3.36V/2.24V/1.12V Output A0 Output A1 Output A2
    리포트 | 2페이지 | 1,000원 | 등록일 2019.11.28
  • 한글파일 디지털회로실험 카운터 결과보고서
    디지털회로실험 -카운터 결과- 1. 실험과정 5.2의 결과를 확인하고, 이를 사진으로 첨부하시오. ... 카운터는 컴퓨터뿐만 아니라 디지털 기기에서도 널리 사용되고 있다. 예시로는 디지털 시계와 디지털 주파수 카운터가 있다. 1. ... 디지털 시계 : 일상생활에서 흔히 볼 수 있는 디지털 시계는 크게 카운터와 디코더로 이루어졌다.
    리포트 | 12페이지 | 3,000원 | 등록일 2021.04.16
  • 한글파일 디지털집적회로 inverter 설계도 및 시뮬레이션 결과
    Digital Integrated Circuits 0.
    리포트 | 9페이지 | 2,500원 | 등록일 2023.01.30
  • 한글파일 디지털 논리 회로 실험 XOR 결과 보고서
    디지털 논리 회로 실험 결과 보고서 (3차) 실험 3. ... 그 예로 이면 결과 값은 1(5V)가 나왔고, 일 땐 결과 값이 0(0V)가 나와서 실험 전 저의 생각과 다르게 결과 값이 나와서 놀랐고 입력이 3개 일때는 조금 다르다는 것을 알 ... 마지막 네 번째 실험인 3-4 실험에서도 역시 입력 값이 하나 더 늘은 3-3 실험과 같았기 때문에 결과 값을 측정할 때 오차가 발생하지 않아서 올바르게 결과 값을 얻을 수 있었습니다
    리포트 | 5페이지 | 2,000원 | 등록일 2021.12.31
  • 한글파일 디지털 논리 회로 실험 NOT 결과 보고서
    디지털 논리 회로 실험 결과 보고서 실험 1. 기본 논리 게이트 ◎ 실험 1-1. ... 원래는 Vo값을 일정하게 증가시키면서 측정했어야했는데 혼자 실험을 해서 그런지 약간의 오차가 생겨서 결과값이 조금 차이가 났던것같습니다. ... 입력 A의 상태를 표와 같이 변화시키면서 출력 F의 상태를 기록하여라. - 실험 결과 : 입력값이 0이면 출력값은 1이나왔고, 입력값이 1이면 출력값은 0이 되었다.
    리포트 | 5페이지 | 2,000원 | 등록일 2021.12.31
  • 한글파일 디지털회로실험 가산기 결과보고서
    실험결과보고서 실험제목 실험2. 가산기 학과 전자공학과 학년 2 학번 조 성명 1. 실험과정 5.1의 결과를 다음의 표에 작성하라. ... 실험과정 5.2의 결과를 다음의 표에 작성하라. ... 이론적으로 반가산기는 캐리를 0으로 두기 때문에 캐리쪽의 led는 빛이 계속 나지 않는 게 맞고, 사진 상으로 나온 결과도 이론처럼 나온 것을 알 수 있습니다.
    리포트 | 4페이지 | 1,500원 | 등록일 2021.04.16
  • 한글파일 디지털회로실험 시프트 레지스터 결과보고서
    참고문헌 디지털 논리회로 개정3판 임석구, 홍경호 지음. https://www.google.co.kr/search? ... 실험과정 5.1의 결과를 다음의 표에 작성하시오. ... 실험과정 5.2의 결과를 다음의 표에 작성하시오.
    리포트 | 7페이지 | 3,000원 | 등록일 2021.04.16
  • 한글파일 디지털회로실험 산술논리연산회로 결과
    디지털회로실험 -산술논리연산회로 결과- 학과 : 전자공학과 1. 결과 1.1 실험과정 5.2의 결과를 다음 표에 작성하시오. ... 기능 선택 가산기의 Y입력 입력 출력 출력 (V) 사진 s1 s0 Cin 0 0 0 0 1100 F=A1100 0 0 1 0 1100 F=A+11101 1.2 실험과정 5.3의 결과를 ... [산술연산회로] 1.3 실험과정 5.5의 결과를 다음 표에 작성하시오. s1 s0 기능 출력 (V) 출력 (V) 0 1 XOR연산 (A,B)=(0,0) (A,B)=(0,1) (A,B
    리포트 | 4페이지 | 2,500원 | 등록일 2021.04.16
  • 한글파일 디지털회로실험 플리플롭 결과보고서
    /wiki/%ED%94%8C%EB%A6%BD%ED%94%8C%EB%A1%AD#.EA.B0.84.EB.8B.A8.ED.95.9C_set-reset_.EB.9E.98.EC.B9.98 디지털 ... 실험과정 5.1의 결과를 다음의 표에 작성하시오. ... 실험과정 5.2의 결과를 다음의 표에 작성하시오.
    리포트 | 8페이지 | 3,000원 | 등록일 2021.04.16
  • 한글파일 디지털시스템실험 2주차 결과보고서
    디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부 디지털 시스템 설계 및 실험 결과보고서 이름 : 학번 : 실험제목 ① FPGA 및 Verilog의 이해, Verilog를 ... 그 결과 진리표에 따른 result가 FPGA보드를 통하여 나타남을 확인할 수 있었다. 그 중 몇몇 실험의 결과는 다음과 같았다. ... 실험결과 1. Quartus 13.0, ModelSim 13.0 설치 1.
    리포트 | 2페이지 | 1,000원 | 등록일 2020.07.29
  • 한글파일 디지털 공학 실험 논리 회로의 간소화 결과레포트
    결과 레포트 디지털 공학 실험 논리 회로의 간소화 무효 BCD-코드 감지기 진리표에 대한 Karnaugh 맵 ● 실험 결과 입력 출력 D C B A X 0 0 0 0 0 0 0 0 ... 방향으로 연결됨 -> 어떻게 해도 LED에 불이 들어오지 않음. ○ 스위치 D가 접지로 단락됨 -> ● 고찰 이번 실험에서는 논리 회로의 간소화 실험을 했다. 113페이지의 실험은 디지털
    리포트 | 4페이지 | 1,500원 | 등록일 2020.05.04
  • 한글파일 충북대 디지털시스템설계 결과보고서5
    디지털시스템설계 실습 결과보고서 학번 이름 1. 실험 제목 LED Controller Design FPGA 7-Segment 구동 Design 2. ... 결과 (1) LED Controller 시뮬레이션 결과 Mode_Switch가 1이므로 LED 출력이 KEY 입력을 통해 결정된다. ... 실험목표 (1) LED Controller를 verilog로 설계하고 FPGA 보드로 결과를 확인한다. (2) FND Timer를 verilog로 설계하고 FPGA 보드로 결과를 확인한다
    리포트 | 8페이지 | 1,500원 | 등록일 2022.02.12 | 수정일 2022.02.14
  • 한글파일 충북대 디지털시스템설계 결과보고서2
    디지털시스템설계 실습 결과보고서 학번 이름 1. 실험 제목 4-bit Multiplier Design 2. ... 실험 결과도 의도한 대로 제대로 나올 수 있었다. ... 실험목표 (1) 4-bit Multiplier design을 coding한다. (2) Test bench를 이용하여, Simulation 결과를 도출한다. 3.
    리포트 | 3페이지 | 1,500원 | 등록일 2022.02.12 | 수정일 2022.02.14
  • 한글파일 충북대 디지털시스템설계 결과보고서4
    디지털시스템설계 실습 결과보고서 학번 이름 1. 실험 제목 Dedicated Microprocessor 2. ... 결과 처음 state 000에서 i가 20이고 계속 감소하면서 sum에 더해지는 것을 알 수 있다. ... 출력된 sum값 11010010은 20에서 1까지 합 210이므로 올바른 결과가 나왔음을 알 수 있다. 5.
    리포트 | 4페이지 | 1,500원 | 등록일 2022.02.12 | 수정일 2022.02.14
  • 한글파일 충북대 디지털시스템설계 결과보고서1
    디지털시스템설계 실습 결과보고서 학번 이름 1. 실험 제목 1-bit Full Adder Design 2. ... 그래도 1-bit Full Adder에 대해서는 디지털 공학 시간에 이미 배운 내용이었고 코드 작성은 ppt를 참고하였기 때문에 실험 결과는 의도한 대로 제대로 나올 수 있었다. ... 결과 Simulation 결과 0~5ns에서는 입력 A, B, Ci가 모두 0이기 때문에, 출력 S, Co의 값이 0이다. 5~10ns에서는 A가 반전되므로 A, B, Ci에서 A만
    리포트 | 3페이지 | 1,500원 | 등록일 2022.02.12 | 수정일 2022.02.14
  • 한글파일 충북대 디지털시스템설계 결과보고서3
    디지털시스템설계 실습 결과보고서 학번 이름 1. 실험 제목 State Machine 2. ... 다행히 시뮬레이션 결과 의도한 대로 제대로 나올 수 있었다. ... State 4이므로 결과값이 1 증가하여 5가 되고 State 4에서 1이므로 State 2로 다시 이동한다. *************011에서 101의 개수는 5개이므로 올바른 결과
    리포트 | 3페이지 | 1,500원 | 등록일 2022.02.12 | 수정일 2022.02.14
  • 한글파일 디지털 논리회로의 전압특성과 지연시간 결과레포트
    실험 결과 표 22-1 게이트 동작전압 TTL V _{IH} : 2.6 V _{OH} : 5 V _{Noise`High} : 2.4 V _{IL} : 1.1 V _{OL} : 0 V ... 이번 실험을 통해 디지털 논리회로의 동작전압, 지연시간 등을 측정하여 디지털 논리회로의 특성을 알게 되었다. ... 실험 22 : 디지털 논리회로의 전압적 특성과 지연시간 1.
    리포트 | 2페이지 | 1,000원 | 등록일 2022.04.28
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업