• LF몰 이벤트
  • 파일시티 이벤트
  • 캠퍼스북
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(867)
  • 리포트(808)
  • 자기소개서(47)
  • 논문(6)
  • 시험자료(4)
  • 서식(1)
  • 방송통신대(1)

바로가기

ASIC 독후감 - ASIC 관련 독후감 3건 제공

"ASIC" 검색결과 1-20 / 867건

  • 워드파일 중앙대학교 ASIC 미니프로젝트
    [Falling_Edge_Detector.v]module Falling_Edge_Detector(sequence_in,clock,reset,detector_out);input clock; //clock signalinput reset; //reset inputinput..
    리포트 | 13페이지 | 5,000원 | 등록일 2022.01.21 | 수정일 2022.05.03
  • 워드파일 FPGA구조와 ASIC 설계 방법 실험 레포트
    이런 설계개발은 일반적인 FPGA에서 만들었고 좀 더 ASIC와 비슷한 고정된 버전으로 변경되었다. • ASIC 특성 ASIC은 특성에 따라 Full custom IC, CBIC(Cell ... 예비 레포트 - 실험날짜 : 2018년 11월 27일 - 실험주제 : FPGA구조와 ASIC 설계 방법 - 예비이론 • FPGA & ASIC 정의 FPGA(Field Programmable ... FPGA는 일반적으로 주문형 반도체(ASIC) 대용품보다 느리고, 복잡한 설계에 적용할 수 없으며, 소비전력이 크다.
    리포트 | 4페이지 | 2,500원 | 등록일 2021.11.08
  • 파워포인트파일 아식스,asics,기업소개,기업연혁,지장점유율,마케팅전략,재무상태표,라이선스계약여부
    나이키가 뛰어들어 유일하게 1 위를 빼앗지 못한 브랜드 목차 기업 소개 기업연혁 시장점유율 마케팅 전략 재무상태표 M A 여부 라이선스 계약여부 기업소개 1949 년 오니츠카 기하치로 ( 鬼塚喜八郞 ) 가 자신의 고향인 고베에서 농구화를 제조하는 회사 오니츠카 타이거 ..
    리포트 | 13페이지 | 2,000원 | 등록일 2020.11.05
  • 한글파일 standard cell methodology / ASIC / custom design / 중요 EDA tool 벤더들과 매출액 조사 과제
    design ASIC를 설계하는 것을 custom design이라고 한다. 2번 문항에서 언급하였던 것처럼 ASIC전부를 제로베이스에서 사용 목적에 가장 적합하게 설계하는 경우를 ... ASIC를 사용하면 제품의 소형 경량화가 가능해지고, 처리 속도 및 전력 절감에서 상당한 이득을 볼 수 있다. 뿐만 아니라 시스템 기술? ... ASIC Application Specific Integrated Circuit. 1984년경 DATA QUEST社가 처음 사용한 용어이다.
    리포트 | 2페이지 | 1,000원 | 등록일 2020.04.15
  • 파일확장자 16bit 가산기 / 16bit adder / Verilog code / 베릴로그코드 설명 결과보고서 포함 / ASIC 설계 / 논리회로 / 디지털 설계
    1. 설계방법 설계한 16-bit adder는 add16을 root module로 하고, 4개의 sub-module인 add4로 구성되어 있다. 각 add4 module은 2개의 sub-module인 add2로 구성되어 있고, 각 add2는 2개의 sub-module인..
    리포트 | 3페이지 | 2,000원 | 등록일 2020.10.17
  • 파일확장자 자판기 베릴로그코드 테스트벤치(testbench) 포함 / Vending machine / Verilog code / 베릴로그코드 설명 결과보고서 포함 / ASIC 설계 / 논리회로 / 디지털 설계
    가격이 1000원 및 1500원인 콜라를 판매하는 자판기를 각각 Verilog code로 구현하였습니다. 코드파일(.v)과 머신에 대한 설명 및 시뮬레이션 결과에 대한 파일(.docx)이 포함되어 있습니다. 1500원 콜라 자판기의 경우 모델심 시뮬레이션에 필요한 ..
    리포트 | 3페이지 | 2,500원 | 등록일 2020.10.17 | 수정일 2020.10.22
  • 파워포인트파일 ASIC 개요
    ASIC 개요 2012. 09. 04 Novel Tech ASIC ASIC ( Application Specific Integrated Circuit) 주문자가 자신의 제품에 필요한 ... SOC 설계자 사용자 제조자 설계자 주문자 제조자 사용자 사용자 ASIC ASIC 설계 방식 . ... Gate array Standard cell ASIC Flow a b c * + Clk Z + Accum Filter d Sum {nameOfApplication=Show}
    리포트 | 4페이지 | 3,000원 | 등록일 2013.01.17 | 수정일 2017.01.06
  • 한글파일 ASIC설계 홈 오토맨션
    설계과제 최종보고서 교과목 명 ASIC설계및실습 책 임 자 (팀장) 성 명 전 공 학 번 학 년 연 락 처 과제 명 홈 - 오토맨션 개발기간 참여 학생 성 명 학 번 학년 전 공 1
    리포트 | 43페이지 | 2,500원 | 등록일 2017.04.11
  • 파일확장자 FPGA(field programmable gate array)과 ASIC
    모든 산업에서 FPGA 칩을 채택하는 이유는 FPGA가 ASIC 및 프로세서 기반 시스템에서 최상의 부분을 통합한다는 사실 때문이다.
    리포트 | 10페이지 | 2,000원 | 등록일 2017.01.02 | 수정일 2017.01.09
  • 한글파일 아식스(ASICS)에대하여
    社アシックス, 영어: ASICS Corporation)는 효고 현 고베 시 주오 구 포트아일랜드에 본사를 둔 스포츠용품 회사다. 주로 경기용 운동화를 중심으로 판매하고 있다. ... Mens Sana in Corpore Sano)“라는 말에서 인간을 의미하는 Mens보다 동적인 의미를 가진 생명이라는 Anima로 바꾸어, 그 앞글자 A, S, I, C, S를 따와 ASICS라고
    리포트 | 3페이지 | 2,000원 | 등록일 2011.04.18
  • 파워포인트파일 반도체소개: ASICs
    ASIC Types of ASIC Economics of ASICs Design flow Future work Schedule What is ASIC? ... 반도체 소개: ASICs Contents What is ASIC? ... Gate Arrays Semi-Custom ASICs Programmable ASICs Full-Custom ASICs Large portions are hand designed
    리포트 | 20페이지 | 2,000원 | 등록일 2010.06.08
  • 파일확장자 ASIC실험
    2. 실험내용 title 6주차: Combinational Logic: odd parity checker(실험보고서)3. 설계방법 3-bit 데이터(S2, S1, S0)의 odd parity bit 발생기와 이를 수신한 패리티 검출기의 진리표parity checker..
    리포트 | 5페이지 | 8,000원 | 등록일 2008.09.03
  • 한글파일 ASIC 설계의 필요성
    ASIC 설계의 필요성, 시장전망, 연구동향 -PLD 설계- ●ASIC 설계의 필요성 많은 사람들이 현대 사회를 정보화 사회라 부른다. ... ASIC 설계 기술의 이런 특수성 때문에 많은 회사가 ASIC 사업에 뛰어들지 못하고 있는 것이다. ... 이런 반도체 시장의 성장 전망에 맞춰 한국 과학 기술원 4인이 1997년 7월에 주식회사 형태로 설립할 ASIC Technology는 멀티미디어 기기와 통신 기기에 필요한 ASIC
    리포트 | 7페이지 | 1,500원 | 등록일 2010.12.19
  • 파워포인트파일 ASIC 설계 및 실험 프로젝트 PPT
    ASIC설계 및 실험 V. 프로젝트 후기 VI. 게임 시연 공학 경영 ASIC설계 및 실험 II III IV V VI I ASIC설계 및 실험 감사합니다. ... 코딩 소스 및 설명 공학 경영 ASIC설계 및 실험 II III V VI IV I ASIC설계 및 실험 IV. 코딩 소스 및 설명-클럭 설정 ASIC설계 및 실험 IV. ... 코딩 소스 및 설명-똥 움직임 ASIC설계 및 실험 IV. 코딩 소스 및 설명-황금똥 움직임 ASIC설계 및 실험 IV.
    리포트 | 20페이지 | 1,000원 | 등록일 2013.03.01
  • 워드파일 ASIC PACKAGE 의 기술동향(9)
    ASIC 이 가장 많이 사용되는 COMPUTER SYSTEM 을 보면, 그림 2 처럼 점점 회로가 ASIC 에 넣어져 가게 된다. ... ASIC PACKAGE 의 기술동향 1. 서론 ASIC 은, 논리 LSI 를 BASE 로 하여 발전해 왔다. 또한, 논리 LSI 는 집적도가 계속 증대되어 왔다. ... 이처럼 ASIC 은 더욱더 고집적, 고기능이 되고 있다.
    리포트 | 12페이지 | 5,000원 | 등록일 2011.09.30
  • 한글파일 ASIC 설계 및 실험 프로젝트 코드
    똥 피하기 게임 게임의 요지 : 하늘에서 8개의 똥이 떨어진다. 한정된 공간에 갇혀 있는 주인공! 무한히 똥을 피할 수 밖에 없다. 이곳에서 나가는 방법은 단 하나! 하늘에서 떨어지는 똥을 계속 피하여 떨어진 개수만큼 움직이는 벌레를 목적지까지 움직이게 하면 이 곳을 ..
    리포트 | 8페이지 | 1,000원 | 등록일 2013.03.01
  • 파일확장자 중앙대학교 전자전기공학부 ASIC설계 송오영 교수님 프로젝트 파일 ASIC(#1)
    * RULE 2.3 : WELL EDGE < 6 의 에러는 n well의 아래쪽(세로길이)을 늘려주어서 해결하였다. 다음 그림처럼 처음에는 WELL EDGE이(가) 6보다 작아야 하는 것인줄 알고 크기를 계속 줄였으나 반대로 6보다 커야 한다는 것을 의미했다. 또한 빨..
    리포트 | 11페이지 | 1,000원 | 등록일 2014.05.03
  • 파일확장자 중앙대학교 전자전기공학부 ASIC설계 송오영 교수님 프로젝트 파일 ASIC(#2)
    지난번 과제에서는 참고사항에 명시된 것처럼 내용도 모른 채로 붙여넣기 했었던 기억이 나는데 myspice에서 파형을 얻기 위한 이러한 과정에서 spc파일의 값을 조정해보면서 이 파일이 어떤 부분에 영향을 미치는지 알 수 있게 되었다. 또한 input 값이 하나가 추가되..
    리포트 | 15페이지 | 1,000원 | 등록일 2014.05.03
  • 파일확장자 중앙대학교 전자전기공학부 ASIC설계 송오영 교수님 프로젝트 파일 ASIC(#3)
    이 부분에서 폴리실리콘이 바로 옆 측의 비아와 콘택트가 포함된 연결부위와 너무 가까워서 발생하는 에러가 생깁니다. 이를 해결하기 위해서 폴리실리콘의 두께를 줄여보았지만 그렇게 되면 폴리실리콘의 최소 두께를 못 넘겨서 에러가 발생하게 되고 다시 콘택트와 비아측을 줄이게 ..
    리포트 | 16페이지 | 1,000원 | 등록일 2014.05.03
  • 파일확장자 ASIC 프로젝트 골프 게임
    verilog-HDL언어를 기초로 DE-2 BOARD를 사용하여 간단한 골프게임을 만들어 보았다. 파워 게이지 모드를 통해 날아가는 공의 속도를 조절하였으며, 바람이라는 랜덤변수를 두어 공의 날아가는 방향을 설계하였다. 공은 3차원적으로 날아가도록 하였으며, 동적과녁이..
    리포트 | 14페이지 | 10,000원 | 등록일 2008.12.15
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업