• LF몰 이벤트
  • 파일시티 이벤트
  • 캠퍼스북
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(1,794)
  • 리포트(1,693)
  • 시험자료(45)
  • 자기소개서(25)
  • 방송통신대(23)
  • 논문(8)

"디코더" 검색결과 121-140 / 1,794건

  • 워드파일 [논리회로 및 실험] 3비트 입력 7-세그먼트 출력 디코더 실험 계획서
    3비트 입력 7-세그먼트 출력 디코더 실험 계획서 실험 계획 BCD-7-세그먼트의 구성에 대해 알아보고, 3비트의 입력을 받아 원하는 출력 값을 가지는 디코더의 구현을 통해 이해해본다 ... g 1 0 0 a b c g 1 0 1 d e f g 1 1 0 a b e f g 1 1 1 b c d e f g 3비트 입력을 받아 아래의 표와 같은 7-세그먼트의 출력을 얻는 디코더
    리포트 | 6페이지 | 1,500원 | 등록일 2016.07.13
  • 한글파일 24.전가산기,디코더 및 MUX
    디코더란 이진부호, BCD부호, 기타 여러 가지 부호들을 부호가 없는 형태로 변환하는 회로이다. ... 전가산기, 디코더 및 MUX 학 과 실험 조 학 년 학 번 성 명 전자공학 - 실험 결과- 1) 실험 결과 표 24-5. ... BCD-to-10진 디코더 입력 출력 ( ) A B C D 0 0 0 0 462 16.2 16.2 16.2 16.2 16.2 16.2 16.2 16.2 16.2 0 0 0 1 16.2
    리포트 | 3페이지 | 1,000원 | 등록일 2010.12.12
  • 한글파일 7-세그먼트 / 디코더 회로 설계
    설계실습 11. 7-segment / Decoder 회로 설계 1. 목적 7-segment와 Decoder를 이해하고 관련 회로를 설계한다. 2. 실험준비물 직류전원장치 1대 멀티미터 또는 오실로스코프 1대 Bread board 1대 저항 (330Ω) 8개 Decode..
    리포트 | 6페이지 | 1,500원 | 등록일 2009.11.30
  • 한글파일 4. 디코더,인코더 및 다중화기 역다중화기 7세그먼트 디코더
    모든 출력들이 동일한 값 1을 가짐), E가 1일 경우에는 디코더로 동작하게 된다. 입력은 2개, 출력은 4개가 되는데 이를 2라인-4라인 디코더 또는 1 ... 학기 2011년 1학기 과목명 디지탈논리회로실험 LAB번호 실험 제목 4 디코더/인코더 및 다중화기 역자중화기, 7세그먼트 디코더 실험 일자 제출자 이름 제출자 학번 팀원 이름 팀원 ... 즉 2N개의 상호 데이터 속에서 특정한 데이터를 검출하는 회로를 디코더라 한다. 위 그림에는 enable 제어신호 E를 가진 2-to-4 디코더를 나타내었다.
    리포트 | 9페이지 | 1,000원 | 등록일 2011.06.08
  • 한글파일 디지털실험 4 - 엔코더와 디코더 회로 결과레포트
    디코더는 조합회로로서 n개의 binary 입력 신호로부터 최대 개의 출력신호를 만들 수 있다. ... 그렇지 않으면 모든 입력 데이터의 디코더 맵은 disabled되어 단일상태의 출력이 얻어진다. ... 엔코더와 디코더 회로 -결과 레포트- 2조 2008065321 권태영 1. 실험 결과 및 정리 ○ 실험 1의 결과를 기입하라.
    리포트 | 3페이지 | 1,000원 | 등록일 2012.03.09
  • 한글파일 실험(1) 디코더 결과보고서
    결과보고서 1. 예비보고사항 ⑴ 그림 2(a) BCD-to-decimal 복호기의 출력이 (b) 진리표와 같음을 확인하라. 이므로 진리표와 같은 값이 나타내는 것을 알 수 있다. ⑵ 그림 4에 나타낸 우선순위 부호기의 동작 원리를 설명하라. 그림 4에서 8입력과 3출력..
    리포트 | 4페이지 | 1,000원 | 등록일 2009.06.21
  • 한글파일 실험(1) 디코더 예비보고서
    아날로그 데이터를 계산이 가능한 부호, 곧 각 시스템 내에서 사용하는 디지털 코드로 변환시켜 주는 인코더(encoder)의 상대용어로, 흔히 디코더(decoder)라고 한다.
    리포트 | 4페이지 | 1,000원 | 등록일 2009.06.21
  • 한글파일 16V8을 이용한 7-Segment용 디코더 설계
    12 3) < GAL16V8_7-Segment 디코더 예상 진리표 > ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ... [16V8을 이용한 7-Segment용 디코더 설계] 청주대학교 전자정보공학부 반도체설계공학전공 07471092 강태현 차 례 ― ― ― ― ― ― ― ― ― ― ― ― ― ― ―
    리포트 | 46페이지 | 2,000원 | 등록일 2012.04.17 | 수정일 2021.07.04
  • 한글파일 6장 인코더와 디코더, 7장 멀티플렉서와 디멀티플렉서
    인코더와 디코더 1. 그림 6.1의 회로를 구성하고, 출력전압을 표 6.3에 기입하시오. ... 디코더도 디멀티플렉서로 사용할 수 있다. 따라서 선택선이 n비트이면 2n개의 출력선 중에서 하나를 선택할 수 있다. 그림 7.3은 1×4 디멀티플렉서의 논리 기호를 나타내었다. ... 입력 데이터와 선택 입력 항을 사용하면 출력에 대한 논리식은 일반적으로 2n×1 라인 멀티플렉서는 각 AND 게이트에 대해 하나씩 2n개의 입력선을 첨가함으로써 n×2n 디코더로 구성된다
    리포트 | 8페이지 | 2,000원 | 등록일 2012.12.10
  • 한글파일 3대8 디코더 설계 및 검증 논리회로 및 실습 보고서
    MyCAD 실습 - 3대8 디코더 설계 및 검증 - 1. (1) 아래 회로의 부울식을 구하고 이를 토대로 진리표를 작성하라. Y? ... 디코더는 n개의 입력이 입력되면 2의 n승개의 출력이 나오는 것을 확인 할 수 있다. A = 2^2, B = 2^1, C = 2^0 Y?
    리포트 | 5페이지 | 1,000원 | 등록일 2014.11.08
  • 한글파일 디코더인코더 예비보고서
    1.1.1 디코더 1.1.2 인코더 1.2 디코더 & 인코더의 특징 (논리기호) 1.2.1 디코더 & 인코더 1.3 디코더 & 인코더의 동작원리 (진리표) 1.3.1 디코더 1.3.2 ... 실험제목: 디코더, 인코더 (예비보고서) - 목 차 - 1. 예비조사 및 실험 내용의 이해 1.1 디코더, 인코더란? ... & 인코더의 특징 (논리기호) 1.2.1 디코더 & 인코더 1.3 디코더 & 인코더의 동작원리 (진리표) 1.3.1 디코더 Decimal Digit BCD Code Logic Function
    리포트 | 7페이지 | 1,000원 | 등록일 2009.05.03
  • 한글파일 디코더 인코더 결과보고서
    1.1.1 디코더 1.1.2 인코더 1.2 디코더 & 인코더의 특징 (논리기호) 1.2.1 디코더 & 인코더 1.3 디코더 & 인코더의 동작원리 (진리표) 1.3.1 디코더 1.3.2 ... 실험 5 (If문) - 디코더 - 1.6. 실험 6 (Case) - 디코더 - 1.7. 실험 7 (When~else) - 디코더 - 1.8. ... 실험제목: 디코더, 인코더 (결과보고서) - 목 차 - 1. 예비조사 및 실험 내용의 이해 1.1 디코더, 인코더란?
    리포트 | 26페이지 | 1,500원 | 등록일 2009.05.25
  • 한글파일 [디지털공학]디코더와인코더
    그림1. 2-to-4 디코더 2-to-4 디코더의 또 다른 형태로 그림 2와 같은 디코더가 있다. ... 그림 2의 디코더에 대한 진리표와 그림 1의 디코더에 대한 진리표를 비교해 볼 때 출력 값이 0은 1로, 1은 0으로 바뀌었음을 알 수 있는데, 이 디코더 역시 입력 값의 각 조합에 ... 그림 1에 입력선이 2개, 출력선이 22=4개인 2-to-4 디코더를 나타내었다.
    시험자료 | 28페이지 | 1,500원 | 등록일 2006.11.30
  • 한글파일 Ch7. 디코더와 인코더
    디코더와 인코더 사 전 보 고 서 제출일 학과 조 학번 조원이름 이름 1. 실험목적 1. 디코더와 인코더의 동작 원리를 이해한다. 2. ... 이론 (1) 디코더(Decoder) - 디코더란 2진 부호와 같은 BCD 코들르 부호가 없는 형태로 바꾸는 변환회로를 말한다. ... 디코더와 인코더의 특성을 확인하고 부호 변화기의 동작을 살펴본다. 3.
    리포트 | 7페이지 | 2,000원 | 등록일 2008.01.08
  • 한글파일 디코더 인코더 예비보고서
    기본 이론 (1) 디코더 (decoder) -컴퓨터 내부에서 디지털로 코드화된 데이터를 해독하여 그에 대응되는 아날로그 신호로 바꿔주는 컴퓨터 회로로 인코더의 반대개념으로 디코더라고도 ... 데 사용 ▶예) 2 X 4 디코더 [표] 2 × 4 디코더 진리표 A B D0 D1 D2 D3 0 0 1 0 0 0 0 1 0 1 0 0 1 0 0 0 1 0 1 1 0 0 0 1 ... 실험 제목 : 디코더와 인코더 2. 실험 목표 복호기 및 부호기의 기능을 익히고 구성 방법을 배운다. 3.
    리포트 | 4페이지 | 1,000원 | 등록일 2009.01.05
  • 한글파일 [디지털회로실험]인코더와 디코더
    예비보고서 실 험 주 제 : 인코더와 디코더 과 목 : 디지털회로실험 학 번 : 분 반 : 이 름 : 1.실험 제목 : 인코더와 디코더 2.관련 이론 1) 인코더와 디코더 【인코더 ... 그림 13-1은 논리도와 3-to-8라인 디코더의 진리표를 나타낸다.이 디코더는 세 입력 변수의 모든 민텀을 발생한다. ... (decoder)】 디코더는 IC형으로 또한 많이 쓰인다.
    리포트 | 5페이지 | 1,000원 | 등록일 2008.09.20
  • 파워포인트파일 [디지털실험]디코더 프리젠테이션
    디코더의 논리식 디코더의 논리회로 74AS139 Data sheet 1 차례 ..PAGE:3 2 1 3 4 5 디코더란(Decoder)? ... ..PAGE:4 2 1 3 4 5 디코더란(Decoder)? ... ..PAGE:1 2-to-4 Decoder ..PAGE:2 2 3 4 5 디코더(Decoder)란?
    리포트 | 10페이지 | 1,500원 | 등록일 2006.03.23
  • 파일확장자 VHDL로 구현한 해밍코드(Hamming code)의 인코더(encoder), 디코더(decoder) 설계
    설계과정 : 주어진 information bit에 패리티비트를 추가하는 Encoder의 설계, 인코딩 되어진 12자리 비트에 에러를 의도적으로 추가하여 시뮬레이션 했을 때 자체적으로 에러를 정정하여 원래의 비트로 되돌려주는 Decoder의 설계를 한다.관련이론 : Ha..
    리포트 | 4페이지 | 5,000원 | 등록일 2013.12.29 | 수정일 2020.12.14
  • 한글파일 논리회로실험 7 세그먼트 디코더
    ◈제목 - 디코더/인코더 및 다중화기/역다중화기 : 7세그먼트 디코더 ◈목적 (1) 디코더 및 인코더의 원리 및 구성 방법을 익힌다. (2) 다중화기 및 역다중화기의 개요, 용도, ... 보편적으로 많이 사용되는 애노드 공통형 BCD-7 세그먼트 LED 디코더 74LS47의 진리표는 표 10-1과 같다. ... 그림 10.7에서는 전류 조정용 저항 R을 각 세그먼트와 디코더 출력 사이에 각각 삽입한다. 예컨대 74LS47의 경우 = 0.35v 이므로 전류 에 의해 결정된다.
    리포트 | 3페이지 | 1,000원 | 등록일 2008.09.19
  • 파워포인트파일 xilinx를 이용한 디코더(Decoder)와 인코더(Encoder)설계
    예를 들어 아래와 같은 2X4 디코더를 살펴보자. 이 디코더는 입력이 2개, 출력이 4개이다. ... 2X4 디코더 블록도 2. ... 관련 기술 및 이론 디코더(Decoder) 코드 형식의 2진 정보를 다른 코드 형식으로 바꾸는 회로가 디코더(Decoder)이다.
    리포트 | 19페이지 | 1,500원 | 등록일 2010.06.24
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업