• LF몰 이벤트
  • 파일시티 이벤트
  • 캠퍼스북
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(103)
  • 리포트(97)
  • 논문(3)
  • 시험자료(3)

"sequence counter" 검색결과 1-20 / 103건

  • 한글파일 VHDL_4_counter, sequence detector, 4way traffic light counter, Soda vending machine
    State = OneZero, D_out = 0 실습제목: 4way traffic light counter 1. ... seg_ten : 0010010 -> 5 seg_one :1000000 -> 0 segment : 150, Soda = 0 4) 140ns, clock = rising_edge, ... seg_ten : 1000000 -> 0 seg_one :1000000 -> 0 segment : 000, Soda = 0 2) 60ns, clock = rising_edge, Reset
    리포트 | 34페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.04.04
  • 한글파일 연세대학교 전기전자공학부 20-1학기 기초디지털실험 week 3 보고서
    BCD Counter A counter is a circuit which proceeds through a well-defined sequence of numbers in response ... sequence of the0 0 1 Each output sequence represents one decimal number. ... That is, a ring counter operates in the same way with a shift register but the output set of a ring counter
    리포트 | 18페이지 | 3,000원 | 등록일 2020.08.18
  • 한글파일 동기식,비동기식 카운터 예비레포트
    a modulus transformation of counters -study the use of IC counters and sequence truncation 2) Synchronous ... -Construct and test the counter. Determine the state diagram of the counter. 3. ... Counter -Design a synchronous counter with up to 16 states in any selected order.
    리포트 | 5페이지 | 1,000원 | 등록일 2022.08.21
  • 한글파일 충북대 기초회로실험 카운터 회로 예비
    이러한 입력방식 때문에 기본 ring 카운터에 비해 2배의 상태를 표현하게 된다. (3) Up/Down counter 증가 2진 카운터 sequence에서는 내부의 상태를 변화하는 ... 그러나 감소 2진 카운터 sequence를 통하여 진행하는 카운터도 필요할 때가 있다. ... 스스로 원위치로 돌아오는 구조를 up counter라 하고, 반대로 1111, 1110, 1101, … , 0000에서 다시 1111의 순서를 갖는 구조를 down counter
    리포트 | 4페이지 | 1,000원 | 등록일 2021.09.10
  • 워드파일 서강대학교 21년도 디지털논리회로실험 8주차 결과레포트 (A+자료) - Shift Register, Multiplier, 4-digit 7-segment display
    계속 shift시켜보아도, 출력은 이러한 sequence를 반복하였다. ... feedback하면서, 4개의 state를 반복하는 counter를 ring counter라고 한다. ... counter의 timing diagram -Johnson counter shift out되는 output의 complement를 feedback시키는 counter를 johnson
    리포트 | 33페이지 | 2,000원 | 등록일 2022.09.18
  • 한글파일 (A+/이론/예상결과/고찰) 아주대 통신실험 예비보고서11
    Clock Generator의 FREQUENCY control을 frequency counter가 10kHz로 읽힐 때까지 조정한다. ... 왜냐하면 이러한 sequence들은 이러한 순서를 무작위로 표시하기 때문에, 그들을 pseudo-random binary sequences라고 부른다. ■ 만든 random sequence의 ... (Table 1.2의 첫 번째 줄에 써라) 각 sequence에서 1과 0이 각각 몇 개가 나오는가?
    리포트 | 9페이지 | 1,500원 | 등록일 2021.10.24
  • 한글파일 서강대학교 디지털논리회로실험 - 실험 7. Finite State Machines 결과 보고서
    특성을 이해한다. 3) 비동기 counter의 동작을 분석한다. 2. ... 과정 3에서는 과정 1, 과정 2의 sequence detector를 mealy type으로 설계하였다. ... 토의 실험 과정 1, 과정 2에서는 moore type의 sequence detector를 서로 다른 종류의 플립플롭을 이 용하여 설계하였다.
    리포트 | 10페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 워드파일 화공계측실험 Pre-Report (8)-Microtiter Plate Reader
    실험 장비 -Multilabel counter (Wallac 1420) 빛의 방출과 흡수에 대한 양적 detection을 하는 platform이다.dispenser, shaker, ... 작용한다. prokaryotes에는 AUG앞에 shine-Dalgarno sequence라는 것이 존재하여 리보솜의 작용을 돕고, Eukaryotes에는 5’ends가 있어 cap ... AUG codon은 mRNA의 시작 부분과 중간부분에 위치하고, 시작 부분에서는 initiation의 역할을 하고 중간부분에서는 그냥 methionine을 나타내는 sequence
    리포트 | 4페이지 | 2,000원 | 등록일 2020.06.12
  • 워드파일 RNA interference 기법을 이용한 유전자 발현 억제 실험
    원래는 cell counter라는 기계를 이용하여 세포 수를 정확히 측정할 수 있다고 한다. ... 즉, LA batch 에서 생존한 e.coli는 amp 유전자와 전환시키고 싶었던 형질의 gene sequence가 들어 있어, transformation에 성공한 cell이다. ... Transformation을하기 위해서는 삽입을 하려는 plasmid에는 전환시키고 싶은 형질의 gene sequence가 포함되도록 해야 하고, selection marker인 항생제
    리포트 | 4페이지 | 1,500원 | 등록일 2021.06.19
  • 워드파일 디지털 논리회로의 응용 카운터/시프트레지스터
    이진 카우터를 이해하기 위해서는 위의 count sequence를 참고해야 한다. 제일 낮은 출력인 A1은 각각의 카운트 펄스와 보완해야한다. ... 인가되어 이 첫 단 플립플롭의 출력이 다음 단의 플립플롭을 트리거 시키도록 되어있는 회로를 말하며, 클럭의 영향이 물결처럼 후단으로 파급된다는 뜻에서 리 플 카운터 (ripple counter ... Exp#8. 디지털 논리회로의 응용 – 카운터/시프트레지스터 예비 레포트 실험 목표 비동기식 카운터와 동기식 카운터의 원리에 대해 이해할 수 있다.
    리포트 | 16페이지 | 2,000원 | 등록일 2022.03.03
  • 워드파일 Argumentative paper on Plato's Republic(플라톤 국가 철학적 에세이)(영어 리포트)
    Through this sequence of thought processes, he concluded that the just people live better and happier ... To counter this, Socrates assumes two premises. Firstly, he talks as folther.’ ... On the other hand, injustice brings conflict which makes the society malfunction and stay in bad condition
    리포트 | 4페이지 | 5,000원 | 등록일 2020.03.16
  • 한글파일 생체분자진단공학에 대한 기본 개념 정리입니다.
    (Sensing counter Electrode만으로는 간섭현상이 심하고, reference electrode가 필요하다.) → Abott Ⅰ stat (Thin film electrode ... blotting, Restriction fragment length polymorphism[RFLP]) - 1977년 : DNA sequencing - 1978년 : Site directed ... : DNA의 구조 발견 (20세기에서 가장 중요한 발견 중 하나) - 1970년 Recombinant DNA technology (cDNA cloning, Sequencing, sourthen
    시험자료 | 6페이지 | 3,000원 | 등록일 2023.06.28
  • 한글파일 [Ayeun]컴퓨터구조 계산기 설계 보고서
    sequence counter 제어순서가 결정되면 이 제어를 시행하는 논리 시스템을 설계해야 한다. ... 두 계산 결과 모두 T1 > T2 > T4타이밍으로 sequence counter로 인한 지연이 발생함을 알 수 있고 결과 값이 T4에서 출력이 되고 IR이 0이므로 T6타이밍은 없는 ... 0100 = 0110(6) 두 계산 결과 모두 T1 > T2 > T6타이밍으로 sequence counter로 인한 지연이 발생함을 알 수 있고 결과 값이 T6에서 출력이 되고 IR이
    리포트 | 8페이지 | 3,000원 | 등록일 2018.12.21
  • 한글파일 [기초전자회로실험2] "Asynchronous Counter / Design of Synchronous Counters" 예비보고서
    modulus transformation of counters ③ Study the use of IC counters and sequence truncation Synchronous ... Counter ① Design a synchronous counter with up to 16 states in any selected order ② Construct and test ... the counter, Determine the state diagram of the counter 회로부품 7408 quad AND Gate 74LS76 dual J-K Flip-Flop
    리포트 | 7페이지 | 1,500원 | 등록일 2019.03.25 | 수정일 2019.03.29
  • 파워포인트파일 비동기식 카운터,카운터(Counter)란,비동기 카운터란,동기식 비동기식 카운터의 차이점
    sequence): Q 1 Q 0 = 00→01→10→11 4- 비트 비동기식 카운터 입출력 파형 장점 : 조합 논리회로가 필요 없을 정도로 회로가 간단하다 . ( 동기식 카운터에 ... 동기식 / 비동기식 카운터의 차이점 비동기식 카운터의 예 2- 비트 비동기식 카운터 4- 비트 비동기식 카운터 비동기식 카운터의 장단점 카운터 (counter) 란 ? ... 어떤 이벤트 (event) 의 발생을 나타내는 입력 신호를 카운트 (count: 계수 ) 하고 , 그 값을 출력하는 순차회로 모듈 (sequential circuit module)
    리포트 | 9페이지 | 1,500원 | 등록일 2018.11.09
  • 한글파일 전기전자기초실험 Flip-flop and Counter Design 결과레포트 (영어)
    contained in several flip-flops may represent the state of a sequencer, the value of a counter, an ASCII ... Consequently each flip-flop has the value 1 in sequence. ... . ▶ synchronous mod-10 counter circuit Synchronous mod-10 counter circuit is counter that is counting
    리포트 | 8페이지 | 1,000원 | 등록일 2017.12.01
  • 한글파일 디지털실험 - 실험 15. UpDown 카운터 예비
    이것은 up counter sequence를 통하여 자연적으로 진행되는 간단한 2진 리플 카운터를 형성한다. ... (a) Up count sequence (b) Down count sequence 2진 리플 카운터 에서처럼 Up/Down 카운터는 입력단에 count ... (b)는 (a)와 반대로 down count sequence를 통하여 동작하는 간단한 2진 리플 카운터이다.
    리포트 | 13페이지 | 1,500원 | 등록일 2017.04.02
  • 한글파일 Up/Down 카운터 예비보고서
    (a) Up count sequence (b) Down count sequence 2진 리플 카운터 에서처럼 Up/Down 카운터는 입력단에 count ... 이것은 up count sequence를 통하여 진행되는 간단한 2진 리플 카운터로 동작한다. ... (b)는 (a)와 반대로 down count sequence를 통하여 동작하는 간단한 2진 리플 카운터 이다.
    리포트 | 4페이지 | 1,000원 | 등록일 2014.06.03
  • 한글파일 디지털실험 15예비 up/down counter
    이것은 down counter sequence를 통하여 자연적으로 진행되는 간단한 2진 리플 카운터로 동작한다. ... 2진 리플 카운터 (a) Up count sequence (b) Down count sequence 아래 그림에서처럼 Up/Down 카운터는 입력단에 count up과 ... (b)는 (a)와 반대로 up count sequence를 통하여 동작하는 간단한 2진 리플 카운터이다. 책은 반대로 되어있다.
    리포트 | 8페이지 | 1,000원 | 등록일 2014.09.30
  • 파워포인트파일 PLC Overview (영문발표자료)
    a simple counter An example An application example 2: Conveyor system - PLC can be used to start/stop ... PROGRAMMING DEVICE - Wiring used to enter the desired program that will determine the sequence of operation ... PLC output devices - Relay contacts - Solenoid valves - Signal devices (such as lamps, alarms, etc.)
    리포트 | 28페이지 | 1,000원 | 등록일 2017.04.05
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업