• 파일시티 이벤트
  • 캠퍼스북
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(7,064)
  • 리포트(6,235)
  • 시험자료(257)
  • 논문(226)
  • 자기소개서(162)
  • 방송통신대(136)
  • 서식(21)
  • ppt테마(16)
  • 이력서(11)

"logic2" 검색결과 181-200 / 7,064건

  • 한글파일 서강대학교 디지털회로설계 과제 Full custom desgin
    칩을 처음부터 디자인 한다 2. 엔지니어는 logic cell이나 circuits 또는 chip을 제작하기 위해 전체 또는 부분을 디자인한다. 3. ... 아래 그림을 보면, truth table에서 f의 열이 4개 이므로, LUT는 2개변수의 logic function을 구현할 수 있으며, 4개의 storage cell을 가진다. ... C=C/N+wafer cost/n (N은 전체 제품. 1) 미리 디자인 되어있고, 테스트 되어있는 logic cell들을 사용한다. 2) chip의 layout이 customize
    리포트 | 6페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • 파일확장자 verilog-디지털시계(Digital watch)A+자료 코드및 레포트
    MSL 설계 20점 2. DCL 설계 20점 3. TL 설계 20점 4. AL 설계 20점 5. 7-Segment 출력 10점 6. ... .- MSL (Master Selection Logic) 설계- DCL (Digital Clock Logic) 설계 - TL (Timer Logic) 설계- AL (Alarm Logic
    리포트 | 59페이지 | 9,000원 | 등록일 2019.08.24 | 수정일 2023.11.02
  • 한글파일 아주대학교 논리회로실험 / 1번 실험 예비보고서
    Logic 회로 구성 법칙인 진리표, 불대수, Logic Diagram에 대해 이해한다. 3. 드 모르간 (De Morgan) 법칙에 대해 이해한다. 2. ... Logic Gate ( AND, OR, NOT, XOR, NOR, NAND )를 이해한다. 2. ... 논리값(Logic Value) Digital Logic에서는 물리량 대신 논리값을 사용한다.
    리포트 | 8페이지 | 1,000원 | 등록일 2021.07.20
  • 한글파일 수 정렬회로 설계 결과보고서
    subtype my_logic is std_logic range ‘0’ to ‘z’ ; 답 : 0, 1, z 고찰 정렬회로는 두 수를 입력받아 크기를 비교한 후 큰 수를 max 7- ... 다음과 같이 subtype을 정의했을 때 my_logic이 가질 수 있는 논리 값은 무엇인가? ... 스위치에 두 개의 입력(a,b) - switch(ena)가 0일 경우 7-seg에 두 입력 출력 - switch가 1일 경우 정렬된 수 출력 핀할당 실행결과 연습문제 5번 STD_LOGIC
    리포트 | 4페이지 | 1,000원 | 등록일 2021.04.16
  • 워드파일 서울시립대 전전설2 Lab-02 예비리포트 (2020 최신)
    . 2. ... 앞선 Lab-01에서 디지털 설계에는 두 가지 방법, standard logic IC와 ASIC가 있다고 배웠는데 Lab-01 실험에서는 standard logic IC를 이용했고 ... 전자전기컴퓨터설계실험Ⅱ 예비리포트 Lab-02 Schematic Design with Logic Gates 작성일: 20.09.13 1.
    리포트 | 10페이지 | 1,500원 | 등록일 2021.09.10
  • 파일확장자 pipeline 8bit CLA 설계 프로젝트 A+ 자료
    제일 처음 PGU logic에 들어가게 되는데, 각각 P와 G를 만들어 내게 된다. ... 구성도를 보면 각각 Logic BOX에서 출력되는 bit의 수가 다르다는 것을 알 수 있다. ... 출력으로는 1bit의 PS와 GS 전달인자를 만 든다.⓺ SUM . vhdSUM은 Top_CLA의 마지막 계산으로 S를 출 력해주는 logic이다.
    리포트 | 9페이지 | 2,500원 | 등록일 2020.09.09 | 수정일 2020.12.10
  • 워드파일 [서울시립대] 전자전기컴퓨터설계실험2 / Lab01(예비) / 2021년도(대면) / A+
    IC이다. - 전원전압(Vcc)은 5V(최소 4.75, 최대 5.25)이며 “High” logic level로 인식되는 입력전압(VIH)의 범위는 2V 이상이고, “Low” logic ... 인식되는 입력전압(VIH)의 범위는 2V 이상이고, “Low” logic level로 인식되는 입력전압(VIL)의 범위는 0.8V 이하이다. - Vcc(전원전압) PIN은 12이고 ... 순차 논리 회로(seIC로, 4개의 2-input OR 게이트가 들어있는 IC이다. - 전원전압(Vcc)은 5V(최소 4.75, 최대 5.25)이며 “High” logic level로
    리포트 | 12페이지 | 2,000원 | 등록일 2022.07.16
  • 파일확장자 연세대학교 기초디지털실험 2주차 예비레포트 (basic of verilog)
    produces 2 outputs ‘carry out’ and ‘sum’. ... This performs an operation that adds two binary numbers, whose logic gate can be seen in pic 1. ... The logic gate and the truth table of full adder follows pic3 and pic4, respectively and can be represented
    리포트 | 5페이지 | 1,500원 | 등록일 2021.08.18 | 수정일 2023.01.07
  • 워드파일 서울시립대 전전설2 Lab-02 결과리포트 (2020 최신)
    . 2. ... 앞선 Lab-01에서 디지털 설계에는 두 가지 방법, standard logic IC와 ASIC가 있다고 배웠는데 Lab-01 실험에서는 standard logic IC를 이용했고 ... 이번에는 좌측 하단 탭 중 symbol 탭에 들어가 symbol name filter에 ‘and2’를 입력하고 symbols 목록에서 and2를 선택한다.
    리포트 | 15페이지 | 1,500원 | 등록일 2021.09.10
  • 워드파일 서울시립대학교 전전설2 1주차 예비레포트(코딩 성공적, A+, 10점 만점 11점)
    /wiki/Transistor%E2%80%93transistor_logic" https://en.wikipedia.org/wiki/Transistor%E2%80%93transistor_logic ... 여부이다. - combinational logic은 현재의 입력 값들을 논리 회로 내부의 연산자들을 통해 출력 값을 내보내는 기능이다. ... Essential Backgrounds (Required theory) for this Lab (1) TTL(Transistor-transistor logic) TTL은 반도체를 이용한
    리포트 | 15페이지 | 무료 | 등록일 2020.07.22 | 수정일 2020.09.15
  • 한글파일 마이크로컴퓨터 레포트(3)
    Addr bar{WR}bar{RD}D7 D6 D5 D4 D3 D2 D1 D0 bar{CS}bar{WR}bar{RD}D7 D6 D5 D4 D3 D2 D1 D0 Decoder C CPU ... Addr bar{WR}bar{RD}D7 D6 D5 D4 D3 D2 D1 D0 bar{CS}bar{WR}bar{RD}D7 D6 D5 D4 D3 D2 D1 D0 Decoder C CPU ... Addr bar{WR}bar{RD}D7 D6 D5 D4 D3 D2 D1 D0 bar{CS}bar{WR}bar{RD}D7 D6 D5 D4 D3 D2 D1 D0 Decoder C CPU
    리포트 | 9페이지 | 3,000원 | 등록일 2021.05.16
  • 파일확장자 중·저준위 방사성폐기물 처분시설의 운영 중 사고에 대한 평가체계 개선 : 한국의 중·저준위 방사성폐기물 표층처분시설의 운영 중 안전성평가 적용사례
    본 논문의 개선된 평가체계를 우리나라의 2단계 중·저준위 방사성폐기물 표층처분시설에 대한 처분시설 운영 중 사고분석의 사례에 대해 적용하였다. ... For the preparedness of logical assessment procedure, classification logic of an operational accident ... The logical assessment platform and procedure, including analysis of the safety function of disposal
    논문 | 12페이지 | 4,300원 | 등록일 2023.04.05 | 수정일 2023.04.06
  • 워드파일 연세대학교 기초디지털실험 6주차 결과레포트
    Programmable logic은 사용자가 직접 디자인한 logic을 구현할 수 있는 logic이다. 따라서 자유도가 높은 것이 장점이다. ... 따라서 달리 말하면 SoC는 CPU와 logic core가 함께 있는 형태라고 할 수 있다. ... PL / PS – 각각 programmable logic, processing system의 약자이다.
    리포트 | 10페이지 | 5,000원 | 등록일 2022.10.05 | 수정일 2022.10.15
  • 파일확장자 quartus 를 사용하여 and-or gate와 NAND gate 구현
    앞과 동일한 함수를 다른 logic 를 사용해 표현 한 것이다. ... 두번째 실습은 우선 F( x,y,z ) = ∑m( 로 표현된 함수를 이해하고 , 이 함수를 각각 2level and or gate 와 2level nand gate 를 사용해 회로로 ... ) 이다BDF CaptureQuartus로 다이어그램을 그리면 다음과 같다.And-or 게이트와 달리 기존 and logic 3 개와 output 에 연결되는 or 를 모두
    리포트 | 15페이지 | 2,000원 | 등록일 2020.10.08
  • 한글파일 서강대학교 마이크로프로세서 4주차 실험
    실험 이론 (1) 관련 instructions 1) Logical instructions - AND : logical AND 연산을 위한 명령어, 관심 데이터의 특정 비트의 상태를 ... logical XOR 연산을 위한 명령어, 관심 데이터의 특정 비트의 상태를 toggle하기 위한 목적으로 사용. - TST : logical AND 연산 수행, 결과를 저장하지 않고 ... Program 2 그림 15.
    리포트 | 23페이지 | 3,000원 | 등록일 2021.06.30 | 수정일 2022.04.14
  • 파일확장자 IB 이콘 장점 단점 정리해놓은 문서
    forseveral reasons:1.It tells us something about the relative size of different countries' economies2.
    리포트 | 2페이지 | 1,000원 | 등록일 2019.09.28
  • 한글파일 디시설 - 패리티 발생기, 검사기 설계
    이후 temp2의 가장 마지막 비트 tmep2(0)에 XOR 연산 결과를 저장하고 리턴한다. ... (n : integer := 8); port( input : in std_logic_vector(n-1 downto 0); output : out std_logic_vector(n ... 정답 : library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; entity parity is generic
    리포트 | 6페이지 | 1,000원 | 등록일 2019.07.20
  • 파일확장자 클러터가 존재하는 환경에서 2단계 접속 논리의 트랙생성에 대한 성능 분석
    2단계 접속 논리(two-stage cascaded logic)는 관측 지역 내에 새로이 출현한 표적에 대한 트랙을 만드는 대표적인 방법중의 하나이다. 2단계 접속 논리의 트랙 생성 ... 이에 본 논문에서는 오경보율을 고려하여 2단계 접속 논리의 트랙 생성 성능을 평가 할 수 있는 개선된 방법을 제시하고자 한다. ... 그리고 2단계 접속 논리에서 사용하는 데이터 연관(data association)기법으로 트랙 분리(track splitting)기법과 최 근접 데이터 선택 기법(nearest neighbor
    논문 | 8페이지 | 4,000원 | 등록일 2023.04.05
  • 파워포인트파일 [BEST] 진짜 유용한 powerpoint-timesaver
    .... ..... ..... ..... ..... .....comes here Wave Chart Ease of Implementation Benefits Wave 1 Wave 2 ... Subtitle comes here Logic Tree - Two Levels ..... ..... ..... ..... ..... ..... ..... ... Subtitle comes here Logic Tree - One Level Plus Text ..... .... ..... ..... ..... ...... ...... .....
    ppt테마 | 110페이지 | 1,500원 | 등록일 2021.08.12
  • 워드파일 Verilog HDL을 이용한 Mu0 프로세서 구현 프로젝트 (코드, ModelSim결과 포함)
    Mux: 2개의 입력 중 어느 입력을 출력할지 결정하며 control logic에 의해 제어된다. ... 위 사진은 MU0를 합성한 결과이며 구성요소인 2to1_mux 2개, ALU, ACC, PC, IR 그리고 control_logic모듈이 합성되어 서로 연결되어있는 모습을 볼 수 있었다 ... Control logic에 의해 주어진 신호 acc_oe, acc_ce에 따라 어느방향으로 출력을 전달할지 결정하며 acc_15 그리고 acc_z신호를 추출하여 control logic
    리포트 | 16페이지 | 8,200원 | 등록일 2021.01.03
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업